当前位置:文档之家› 模型机设计实验报告

模型机设计实验报告

模型机设计实验报告

一、实验目的

本次实验的目的是通过设计和制作模型机,掌握机械设计的基本原理和方法,提高学生的机械制图和机械加工能力,培养学生的创新思维和动手能力。

二、实验原理

模型机是一种小型机械装置,通常由多个零部件组成,可以模拟真实机器的运行原理。在设计模型机时,需要考虑机器的结构、功能和材料等因素,以确保机器的稳定性和可靠性。

在本次实验中,我们采用了三维建模软件进行机器的设计,然后使用数控机床进行机器的加工。在加工过程中,需要注意机器的精度和加工质量,以确保机器的性能和使用寿命。

三、实验步骤

1. 设计模型机的结构和功能,确定机器的材料和尺寸。

2. 使用三维建模软件进行机器的设计,包括零部件的设计和组装。

3. 将设计好的模型导入数控机床,进行机器的加工。

4. 对加工好的零部件进行组装和调试,确保机器的性能和稳定性。

5. 对机器进行测试和评估,记录机器的性能和使用情况。

四、实验结果

经过设计和制作,我们成功地制作出了一台模型机。该机器采用了铝合金材料,具有较高的强度和耐腐蚀性。机器的结构紧凑,功能齐全,可以模拟真实机器的运行原理。

在加工过程中,我们采用了数控机床进行加工,确保了机器的精度和加工质量。在组装和调试过程中,我们注意了机器的细节和性能,确保了机器的稳定性和可靠性。

经过测试和评估,我们发现该机器的性能和使用情况良好,可以满足实际应用的需求。

五、实验总结

通过本次实验,我们掌握了机械设计的基本原理和方法,提高了机械制图和机械加工能力,培养了创新思维和动手能力。同时,我们也了解了数控机床的加工原理和操作方法,提高了数控加工的技能和水平。

在今后的学习和工作中,我们将继续加强机械设计和制造方面的学习和实践,不断提高自己的技能和能力,为实现自己的梦想和目标做出更大的贡献。

计算机组成原理复杂模型机设计实验遇到的问题及解决方法

计算机组成原理复杂模型机设计实验遇到的问题及解决方法 在计算机组成原理复杂模型机设计实验中,可能会遇到以下问题及对应的解决方法: 问题1:设计中的模型出现逻辑错误或功能缺陷。 解决方法:检查设计模型的逻辑,确保各个部分的连接、信号传递等没有错误。借助模拟器或仿真软件进行功能测试和调试,找出错误并进行修复。可以参考相关教材、资料或请教老师和同学。 问题2:设计模型的性能不达标或满足不了要求。 解决方法:评估性能不足的原因,可能是模型中的某些组件或算法存在瓶颈。可以尝试优化设计,如采用更高效的算法、增加硬件资源或调整设计参数。借助性能分析工具进行性能测量和分析,找到瓶颈所在并进行改进。 问题3:操作系统和硬件之间的兼容性问题。 解决方法:在设计过程中要考虑操作系统和硬件的兼容性,选择合适的硬件平台和操作系统版本。可以参考相关文档和标准,确保操作系统和硬件之间的接口兼容性。如果出现兼容性问题,可能需要调整硬件设计或修改操作系统驱动程序。 问题4:实验环境搭建和调试困难。 解决方法:在实验环境搭建前,要详细了解实验需求和条件,准备必要的软硬件设备。在实验过程中,遇到问题要耐心调试,可以借助调试工具和仪器进行故障排查。同时,及时记录实验过程和结果,便于问题分析和解决。 问题5:设计模型的测试和验证难度大。 解决方法:为了保证设计模型的正确性和稳定性,需要进行全面的测试和验证。可以设计并执行针对不同功能模块和整体系统的测试用例,包括功能测试、性能测试、稳定性测试等。同时,可以采用仿真和验证工具,如模型检查、形式化验证等方法进行模型的验证。 以上只是一些可能遇到的问题和解决方法,根据具体情况可能还会面临其他问题。在实验过程中要注重细致的工作,与同学和老师多沟通交流,积极寻求帮助和建议,以便顺利解决问题。

综合实验——COP2000实验仪中排序方法的探讨

模型机综合实验(微程序设计) 一、实验目的 综合运用所学计算机原理知识,设计微程序实现题目规定的指令。 二、实验要求 1. 做好预习。 2. 上机调试使其能达到在"程序单步"状态正确运行题目规定的程序。 3. 完成实验报告(写出调试小结:在实验中遇到的问题、解决的方法及相关的讨论等)。 三、实验器材 系统计算机、伟福COP2000型计算机组成原理教学实验系统各一台,排线若干。 四、实验内容 (一)任务:COP2000实验仪中排序方法探讨。 排序。 对于存放在R0~R3中的数进行排序,有序的存回R0~R3。 分析: 对待比较两个数采用减法比较大小,然后按照顺序存回。针对排序过程可采用不同排序方法,如:冒泡排序,快速排序等。并可以查看不同排序方法在实验仪器上的效率。 这个实验过程涉及到数大小比较,数据存储,程序跳转控制,这些过程均能在COP2000计算机组成原理实验仪上完成。 在数据结构中,我们学习了对数字排序的不同算法,并详细学习了算法复杂度。但是针对我们使用的计算机不能体会到不同算法在时间上的不同。此次试验能够具体体会算法之间在时间复杂度上的区别。有一定的实验意义。 综上所述:本次试验目标至少做出一种排序方法,并在此基础之上比较各种排序方法的效率。 (二)总体设计 1.汇编程序流程:

2.程序流程说明: 本程序完成对R0,R1,R2,R3这四个寄存器的排序工作,按R0-R3降序排序。 排序采用冒泡排序法,分别对于R0和R1,R1和R2,R2和R3,三个关系进行判断。若一个关系的前者小于后者,则通过stack寄存器交换两者的值,若前者大于后者,则继续进行下一个关系的大小判断。三个关系依次判断一遍之后,可以保证四个数的最小的数在R3中。

简单模型机实验报告

简单模型机实验报告 篇一:模型机实验报告 HUNAN UNIVERSITY 课程实习报告 题目:模型机 学生姓名 学生学号 XX0801328 专业班级计算机科学与技术(3)班指导老师方恺晴 完成日期 思考题: 1. 给定一个复合运算式子以及指令码IR[7..5]与八位BUS总线对应情况。要求写出七条指令新的指令码并写出复合运算执行mif文件。修改模型机电路调试程序以实现复合运算。 例:已知A=55H,B=8AH,C=F0H;IR[7..5]对应BUS8,BUS1,BUS3;写出(Aplus/B)^(/(/CplusB))的mif文件,并在模拟机上实现。 答:模拟机电路修改如下:存储器预设指令重设: 计算结果:(A+/B)^(/(/C+B))=42H 2. Microcomputer.vhd代码中进程ct1,ct2,ct3,ct4功能划分依据是什么?

ct1:微序列控制器下址跳转。 ct2:实现各种指令,主要集中在实现从存储器或者寄存器释放数据到总线上。 ct3:完成各种指令,从总线上装载数据到相应的存储器或者寄存器中。 ct4:生成下址,判断下址生成方式,根据不太那个的方式生成下址。 3. Microcomputer.vhd代码中如何定义并初始化RAM?type ram is array(0 to 37)of std_logic_vector(7 downto 0); --38*8ram signal ram8:ram:= (x”20”, x”1e”, x”80”, x”40”, x”20”, x”20”, x”1d”, x”c0”, x”20”, x”40”, x”21”, x”20”, x”1f”, x”80”, x”40”, x”22”, x”20”, x”1e”, x”c0”, x”22”, x”80”, x”e0”, x”21”, x”40”, x”23”, x”60”, x”23”, x”a0”, x”00”, x”55”, x”8a”, x”f0”,others=>x”00”) –initialize ram4 4. Microcomputer.vhd代码中bus_reg_t2 将ram8存储器中对应于ar中地址单元的数据取出来放到bus_reg_t2寄存器中。将r5寄存器中的数据装载到ram8存储器对应于ar中地址单元中。

复杂模型机实验报告

复杂模型机实验报告 篇一:复杂模型机实验报告 信息学院篇二:复杂模型机的组成与运行实验报 告内蒙古师范大学计算机与信息工程学院 《计算机组成原理》课程设计报告题目十五: 复杂模型机的组成与运行目录 1[ 任务述] (2) 1.1 .........题目称................................... 2 1.2 求. 2 1. 3 实验目的........................... (2) 2[设计设备] .................... 2 3[设计原理和方

法] .................... (2) 3.1设计原理........................... 3 .............................................. 3 ................................................... 3 ................................................... 3 ................................................... ................................ 4 3.2 设计依据................................... 5 3.2.1 详细设计 5 ...................................................

(8) 4 [ 代码单] (9) 4.1 微程序图........................... 9 4.2 机器指令代

计算机组成原理课程设计报告

计算机组成原理 课程设计报告 实验项目:1、设计一个具有带进位加法和立即数寻址方式的模型机 2、设计一个具有带进位加法和条件跳转的模型机 专业:计算机科学与技术 班级:09级37-3班 学号:—————— 姓名: 合作:

指导教师: 实验时间:2011-6-15 ~~ 6-17 实验一:设计一个具有带进位加法和立即数寻址方式的模型机1、问题描述 本题目设计的是一台具有输入、输出、带进位加法、储存和跳转功能的模型计算机,并写出工作程序和测试数据验证所设计的指令系统。 2、设计目标 在设计完本程序后,所设计模型机能够完成从一个外设输入一个数到指定的寄存器中,另外输入立即数并将其存入另一个、寄存器中,两数进行进位相加并将结果保存到第一个寄存器,输出结果,并显示。另外,实现无条件跳转至开始。 3、指令格式 4、设计微指令

(3)微程序流程图

(4)由编码得到微程序 根据以上编写的微程序,以及微指令代码化的方法得到的微程序代码为:$M00018001 $M0101ED82 $M0200C048 $M08001001 $M0901ED83 $M0300B004 $M0401A205 $M05919A01

$M0A01ED86 $M0600E007 $M07028201 $M0B01ED8D $M0D00E00E $M0E00900F $M0F030201 $M0C01ED90 $M1000D181 (5)写工作程序 $P0000 $P0110 $P0222 $P0320 $P0401 $P0530 $P0601 $P0740 $P0800 (6)建立联机操作文件 为了从PC机下载工作程序和微程序,需要建立联机操作文件,该文件是普通的文本文件,扩展名为TXT,可用记事本来建立的,要求: a、测试用的工作程序排在文件的前面,每个内存地址及代码占一行;

计算机组成原理课程设计实验报告

计算机组成原理实验报告 班级:1403011 学号:140301124 姓名:于梦鸽 地点:EII-312 时间:第3批

计算机组成原理与体系结构课程设计 基本模型机设计与实现 一.实验目的 1.深入理解基本模型计算机的功能、组成知识; 2.深入学习计算机各类典型指令的执行流程; 3.学习微程序控制器的设计过程和相关技术,掌握LPM_ROM 的配置方法。 4.在掌握部件单元电路实验的基础上,进一步将单元电路组成系统,构造一台基本模型计算机。 5.定义五条机器指令,并编写相应的微程序,上机调试,掌握计算机整机概念。掌握微程序的设计方法,学会编写二进制微指令代码表。 6.通过熟悉较完整的计算机的设计,全面了解并掌握微程序控制方式计算机的设计方法。 二.实验原理 1.在部件实验过程中,各部件单元的控制信号是人为模拟产生的,而本实验将能在微过程控制下自动产生各部件单元控制信号,实现特定的功能。实验中,计算机数据通路的控制将由微过程控制器来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期,全部由微指令组成的序列来完成,即一条机器指令对应一个 微程序。 2.指令格式 (1)指令格式 采用寄存器直接寻址方式,其格式如下: 其中IN 为单字长(8位二进制),其余为双字长指令,XX H 为addr 对应的十六进制地址码。为了向RAM 中装入程序和数据,检查写入是否正确,并能启动程序执行,还必须设计三个控制台操作微程序。

1,存储器读操作(KRD ):下载实验程序后按总清除按键(CLR )后,控制台SWA 、SWB 为“0 0”时,可对RAM 连续手动读入操作。 2,存储器写操作(KWE ):下 载实验程序后按总清除 按键(CLR )后,控制台SWA 、SWB 为“0 1”时,可对RAM 连续手动写操作。 3、启动程序(RP ):下载实验程序后按总清除按键(CLR )后,控制台SWA 、SWB 为“1 1”时,即可转入到微地址“01”号“取指令”微指令,启动程序运行。 根据以上要求设计数据通路框图,如图5-1所 示。 表6-1 24位微代码定义: 表6-2 A 、B 、C 各字段功能说明: 24位微代码中各信号的功能 (1) uA5—uA0:微程序控制器的微地址输出信号,是下一条要执行的微指令的微地址。 (2) S3、S2、Sl 、S0:由微程序控制器输出的ALU 操作选择信号,以控制执行16种算术操作或16种逻辑操作中的某一种操作。 (3) M :微程序控制输出的ALU 操作方式选择信号端。M =0执行算术操作;M =l 执行逻辑操作。 (4) Cn :微程序控制器输出的进位标志信号,Cn =0表示ALU 运算时最低位有进位,Cn 图6-1 数据通路框图

复杂模型机实验报告范文

复杂模型机实验报告范文 计算机组成原理实验报告 评语:课中检查完成的题号及题数:成绩: 自评分: 92 课后完成的题号与题数: 实验报告 实验名称: 基于复杂模型机两个8位二进制数乘法的实现 日期:姓名:姓名: 2022/1/9 班级:班级: 学号:学号: 一、实验目的: 1.综合运用所学计算机组成原理知识,设计并实现较为完整的计算机 2.锻炼动手能力,实践排错能力 3.进一步理解计算机运行的原理以及微指令架构 二、实验内容:

1.根据实验指导书提供的复杂模型机电路图连接电路,并校验电路 2.装载示例程序并运行,分析理解所增加的微指令 3.根据复杂模型机现有条件设计两个8位二进制相乘的程序 三、项目要求及分析: 实验内容1、2 按照实验指导书进行,略。 实验内容3分析: 要求利用复杂模型机现有的指令系统以及硬件电路设计一段实现八位 二进制数相乘的程序。因为乘法在计算机中有多种算法实现,包计算机组成原理实验报告 括整数乘、小数乘、原码乘、补码乘等等,因为此次实验并不要求给 出一个完整的实用乘法程序段,故实验程序只实现两个八位二进制整数无 符号相乘运算。 采用算法如下: 乘数AA7A6A5A4A3A2A1A0 被乘数B 乘积C=B·A0+2(B·A1+2(B·A2+……2·B·A7)))))) 其中Ai为0或者1,在机器中使用原码表示2某某是使某左移1位。若不采用循环模式而是直接使用指令将该算法的乘积等式直接表示出来, 需要A,B,RL(结果低位),RH(结果高位)四个通用寄存器;而若使用循

环模式,则需要除A、B、RL、RH外的C某(控制循环次数以及高低位相与寄存器)和AD(取中间结果高低位)的辅助。 此次实验为了充分的接触指令系统,采用循环模式。由于复杂模型机中只有R0、R1、R2、R3四个通用寄存器,故一些原来计划使用的寄存器改为使用主存。 另外,因为低位结果相加可能进位,所以修改原微指令ADD为带进位加法。 四、具体实现: 1.画出算法流程图 2 计算机组成原理实验报告 说明: 该流程图使用了以下6个寄存器C某,AD,A,B,RL,RH;其中C某作为计数以及辅助生成AD的,C某变化为 100000000000000100000010 (10000000) 初始值 程序结束 AD作为被乘数的高低位划分数据,其中被乘数B中高位对应的AD的位置1,低位置0: 000000000000000100000011…… 11111111

模型机实验报告【范本模板】

哈尔滨工程大学 实验报告 实验名称:复杂模型机设计与实现 班级: 学号: 姓名: 实验时间: 成绩: 指导教师: 程旭辉附小晶 实验室名称:计算机专业实验中心

一、实验名称:复杂模型机的设计与实现 二、实验目的: 1.综合运用所学计算机原理知识,设计并实现较为完整的计算机。 2.设计指令系统. 3.编写简单程序,在所设计的复杂模型计算机上调试运行。 三、实验设备: GW—48CPP系列计算机组成原理实验系统. 四、实验原理: 1.数据格式 模型机采用定点补码表示法表示数据,字长为8位,其格式如下: 其中第7位为符号位,数值表示范围是:—1≤1。 2.指令格式 所设计的指令分为四大类共十六条,其中包括算术逻辑指令、I/O指令、访问、转移指令和停机指令. (1)算术逻辑指令 设计9 其中,OP-CODE为操作码,rs为源寄存器,rd为目的寄存器,并规定: (2)访问指令及转移指令 访问指令有2条,即存数(STA)、取数(LDA);2条转移指令,即无条件转移(JMP)、结果为零或有进位转移指令(BZC),指令格式为: 其中,OP—CODE为操作码,rd为目的寄存器地址(用于LDA、STA指令).D为位移量(正负均可),M为寻址模式,其定义如下: 在本模型机中规定变址寄存器RI为寄存器R2. (3)I/O指令

输入(IN)和输出(OUT)指令采用单字节指令,其格式如下: 其中,addr=01时选中“INPUT DEVICE”中的键盘输入设备,addr=10时,选中“OUTPUT DEVICE”中的LCD点阵液晶屏作为输出设备. (4)停机指令 指令格式如下: 3.指令系统 共有16条基本指令,其中算术逻辑指令7条,访问内存指令和程序控制指令4条,输入/输出指令2条,其他指令1条.各条指令的格式、汇编符号、功能如表7—1所示。

简单模型机组成原理实验报告

简单模型机组成原理实验报告 简单模型机是一种学习机械原理和电子技术的教学工具,它可以帮助学生理解机械结构和电路原理,提高学生的实验能力和创新能力。本文将介绍简单模型机的组成原理和实验过程。 一、简单模型机的组成原理 简单模型机由机械结构和电路控制两部分组成。机械结构包括电机、齿轮、链条、轮子、滑轮等零部件,这些零部件组成了模型机的动力系统。电路控制包括电源、电机控制器、传感器、LED灯等电子元件,这些元件组成了模型机的控制系统。模型机的动力系统和控制系统通过电线连接在一起,实现了模型机的运动和控制。 二、简单模型机的实验过程 1. 组装机械结构。根据模型机的说明书,将各个零部件按照要求组装在一起,包括电机、齿轮、链条、轮子、滑轮等零部件。组装的过程需要注意每个零部件的位置和连接方式。 2. 连接电路控制。将电源、电机控制器、传感器、LED灯等元件按照要求连接在一起,形成一个控制电路。电路的连接需要注意电线的颜色和连接方式,确保电路的正常工作。 3. 调试机械结构。将电源接上,打开电机控制器,测试机械结构的运动情况,包括电机转动、齿轮传动、轮子转动等。如果出现异常

情况需要及时停机检查。 4. 调试电路控制。将传感器连接到电路控制中,测试传感器的工作情况,包括检测光线、声音、温度等。如果传感器检测到异常情况,控制器会发出警报信号或控制电机停止运动。 5. 进行实验。根据实验要求,调整机械结构和电路控制,进行不同的实验,包括测量速度、转动角度、距离等。实验过程需要记录数据和结果,进行分析和总结。 三、结论 通过简单模型机的组装和实验,可以帮助学生深入理解机械原理和电路控制原理,提高学生的实验能力和创新能力。同时,模型机的组装和实验也可以培养学生的动手能力和团队精神,促进学生的综合素质的提高。

基本模型机实验报告

基本模型机实验报告 一、实验目的 本实验旨在通过构建一个基本模型机,深入了解计算机的工作原理,包括数据的二进制表示、指令执行、内存管理以及简单的输入输出。 二、实验设备 1. 微处理器(如 Intel 8080) 2. 存储器芯片(如 Intel 2114) 3. 输入设备(如开关或键盘) 4. 输出设备(如LED灯或显示器) 5. 电源 三、实验步骤 步骤一:构建模型机 根据实验设备,将微处理器、存储器、输入设备和输出设备连接起来,形成一个简单的模型机。确保所有连接正确无误,电源供应稳定。

步骤二:数据表示与存储 在模型机中,使用二进制数表示数据。将数据存储在存储器中,并观察数据在存储器中的表示形式。例如,使用开关模拟二进制数的0和1,将开关按下表示0,不按下表示1。 步骤三:指令执行 编写简单的汇编指令,如加法指令,并在模型机上执行。观察指令的执行过程,包括取指令、解码指令、执行指令和写回结果等步骤。 步骤四:内存管理 模拟内存的读写操作,了解内存地址的概念以及如何通过地址访问存储在内存中的数据。观察内存地址的增加和减少对数据读写的影响。 步骤五:输入输出操作 通过输入设备输入数据,观察模型机如何将输入的数据存储在内存中。然后通过输出设备输出数据,了解输出数据的表示形式。 四、实验结果与分析

通过本次实验,我们了解了计算机的基本工作原理,包括数据的二进制表示、指令执行、内存管理和输入输出操作。在实验过程中,我们观察到微处理器负责执行指令,存储器用于存储数据和指令,输入设备用于输入数据,输出设备用于输出数据。此外,我们还了解了内存地址的概念以及如何通过地址访问存储在内存中的数据。 五、结论与建议 本次实验使我们深入了解了计算机的基本工作原理,并掌握了构建简单模型机的方法。为了进一步提高实验效果,建议在未来的实验中增加更多的设备和功能,例如中断处理、多任务处理等,以便更全面地了解计算机的工作原理。同时,建议在实验过程中注重细节和观察,以便更好地理解实验结果和原理。

实验六 复杂模型机的设计与实现

实验六复杂模型机的设计与实现 本实验旨在介绍复杂模型机的设计与实现,包括硬件设计、软件开发以及测试等环节。 一、实验目的 1、了解复杂模型机的原理和结构。 4、学会使用测试工具对复杂模型机进行测试和验证。 二、实验原理 复杂模型机是一种灵活多变的系统,其结构和输入规则可以进行修改和扩充。其原理 是通过对输入的逐步处理和变换,获取到相应的输出结果。 复杂模型机的硬件设计包括电路结构和部件选择等方面,需要根据具体的应用场景进 行选择和设计。而软件开发则包括编程语言、算法设计以及接口设计等方面。最终,测试 是对复杂模型机进行验证的过程,通过测试可以发现系统中存在的问题,进行修改和优化。 三、实验内容 1、硬件设计 硬件设计是复杂模型机设计中一个非常重要的环节。根据具体的应用场景,需要选择 合适的器件和电路结构。 例如,在一些需要大量数据传输的应用场景中,需要选择高速缓存、高速总线等器件,以提升系统处理速度。此外,还需要注意信号处理、干扰防护、热稳定性等问题。 2、软件开发 软件开发包括编程语言的选择、算法设计、接口设计等方面。在编程语言的选择方面,C、C++、Python等语言都有优缺点,需要根据实际情况进行选择。 算法的设计则是根据具体的应用场景来的。例如,在图像处理领域中,常用的算法有 人脸识别、图像增强等。 接口设计包括输入输出接口的设计,需要实现对多种交互方式的支持,如图形界面、 脚本等。 3、测试和验证 测试和验证是对复杂模型机进行验证的过程,通过测试可以发现系统中存在的问题, 进行修改和优化。常用的测试工具包括单元测试、集成测试、性能测试等。 四、实验步骤

根据实际需求进行硬件设计,选择器件和电路结构。最终进行硬件搭建和测试。 根据实际需求进行软件开发和实现,包括编程语言的选择、算法设计、接口设计等方面。最终进行软件测试和验证。 五、实验注意事项 1、在进行实验前,需要对硬件和软件进行备份,以免因实验操作失误造成数据丢失或损坏。 2、在进行大规模测试前,需要对系统进行充分的测试和验证,以确保系统的稳定性和性能。 3、实验过程中需要注意保护个人隐私和知识产权,不得泄露私密信息或抄袭他人作品。 六、实验结果分析 经过硬件设计、软件开发和测试实验,可以获得一个功能齐全、性能稳定的复杂模型机。在实际应用中,可以发挥其灵活多变的特点,在不同的场景下实现多种处理需求。同时,可以通过测试和优化,进一步提升系统的性能和稳定性。

uPC实验报告

计 数 器 实 验 报 告 姓名:康道顺 班级:计科142班 学号:201401014233 指导老师:李晓玲

实验五微程序计数器uPC实验 一、实验目的 (1)了解模型机中微程序的基本概念。 (2)了解uPC的结构、工作原理及其控制方法。 二、实验要求 利用COP2000实验仪上的K16..K23开关做为DBUS的数据,其它开关做为控制信号,实现微程序计数器uPC的写入和加1功能。 三、实验说明 74HC161是一片带预置的4位二进制记数器。功能如下: 当RST = 0时,记数器被清0。 当IREN = 0时,在CK的上升沿,预置数据被打入记数器。 当IREN = 1时,在CK的上升沿,记数器加一。 TC为进位,当记数到F(1111)时,TC=1 CEP,CET为记数使能,当CEP,CET=1时,记数器工作,CEP,CET=0时,记数器保持原记数值。 在COP2000中,指令IBUS[7:0]的高六位被接到uPC预置的高六位,uPC 预置的低两位被置为0。一条指令最多可有四条微指令。 uPC原理图

uPC工作波形图 四、实验原理 实验1:uPC加一实验 按一次CLOCK脉冲键,CLOCK产生一个上升沿,数据uPC被加一。 实验2:uPC打入实验 当EMWR,EMEN=0时,数据总线(DBUS)上的数据被送到指令总线(IBUS)

上。 按住CLOCK脉冲键,CLOCK由高变低,这时寄存器uPC的黄色预置指示灯亮,表明uPC被预置。放开CLOCK键,CLOCK由低变高,产生一个上升沿,数据10H被写入uPC寄存器。 实验五程序计数器PC实验 一、实验目的 (1)了解模型机中微程序的基本概念。 (2)了解PC的结构、工作原理及其控制方法。 二、实验要求 利用COP2000实验仪上的K16..K23开关做为DBUS的数据,其它开关做为控制信号,实现程序计数器PC的写入和加1功能,并观察PC及相应变化。 三、实验说明

CPU-与简单模型机设计实验实验报告

实验名称:CPU 与简单模型机设计实验日期:2021.11 班级:姓名: 一、实验目的: (1) 掌握一个简单CPU 的组成原理。 (2) 在掌握部件单元电路的根底上,进一步将其构造一台根本模型计算机。 (3) 二、实验内容: 本实验要实现一个简单的CPU,并且在此CPU 的根底上,继续构建一个简单的模型计算机。CPU 由运算器〔ALU〕、微程序控制器〔MC〕、通用存放器〔R0IR〕、程序计数器〔PC〕和地址存放器〔AR〕组成,如图2-1-1 所示。这个CPU CPU 必须和主存挂接后,才有实际的 意义,所以还需要在该CPU 的根底上增加一个主存和根本的输入输出部件,以构成一个简单 的模型计算机。 图1-4-1 根本CPU 构成原理图 除了程序计数器〔PC〕,其余部件在前面的实验中都已用到,在此不再讨论。系统的程 序计数器〔PC〕由两片74LS161 和一片74LS245 构成,其原理如图1-4-2 所示。PC_B 为三 态门的输出使能端,CLR 连接至CON 单元的总清端CLR,按下CLR 按钮,将使PC 清零,LDPC 和T2 相与后作为计数器的计数时钟,当LOAD 为低时,计数时钟到来后将CPU 内总线上的数 据打入PC。

图1-4-2 程序计数器(PC)原理图 JMPIN〔输入〕、ADD〔二进制加法〕、OUT〔输出〕、JMP〔无条件转移〕,HLT 助记符说明 IN 0010 0000 IN→R0 ADD 0000 0000 R0 + R0→R0 OUT 0011 0000 R0→OUT JMP addr 1100 0000 ******** addr→ PC HLT 0101 0000 停机 其中JMP ********为addr CPU 1-4-3 所示。 本实验在前一个实验的根底上增加了三个部件,一是PC〔程序计数器〕,另一个是AR 〔地址存放器〕,还有就是MEM1-4-1 所示。

计算机原理实验四CPU与简单模型机设计实验操作步骤

5.1 CPU与简单模型机设计实验 5.1.1实验目的 (1)掌握一个简单CPU的组成原理。 (2)在掌握部件单元电路的基础上,进一步将其构造一台基本模型计算机。 (3)为其定义五条机器指令,编写相应的微程序,并上机调试掌握整机概念。 5.1.2实验设备 PC机一台,TD-CMA 实验系统一套。 5.1.3实验原理 本实验要实现一个简单的CPU,并且在此CPU的基础上,继续构建一个简单的模型计 算机。CPU由运算器(ALU )、微程序控制器(MC)、通用寄存器(R0),指令寄存器(IR )、程序计数器(PC)和地址寄存器(AR )组成,如图5-1-1所示。这个CPU在写入相应的微指令后,就具备了执行机器指令的功能,但是机器指令一般存放在主存当中,CPU必须和主 存挂接后,才有实际的意义,所以还需要在该CPU的基础上增加一个主存和基本的输入输 出部件,以构成一个简单的模型计算机。 I ------------------------------------ 1 AR / ALU ' 图5-1-1 基本CPU构成原理图 除了程序计数器(PC),其余部件在前面的实验中都已用到,在此不再讨论。系统的程序计数器(PC)和地址寄存器(AR)集成在一片CPLD芯片中。CLR连接至CON单元的总清端CLR,按下CLR按钮,将使PC清零,LDPC和T3相与后作为计数器的计数时钟,当LOAD为低时,计数时钟 到来后将CPU内总线上的数据打入PC。

LDPC T3 CLR LOAD 图5-1-2程序计数器(PC)原理图

本模型机和前面微程序控制器实验相比, 新增加一条跳转指令 JMP ,共有五条指令:IN (输入)、ADD (二进制加法)、OUT (输出)、JMP (无条件转移),HLT (停机),其指令 格式如下(高4位为操作码): 助记符 机器指令码 说明 IN 0010 0000 IN -R0 ADD 0000 0000 R0 + R0 -^R0 OUT 0011 0000 R0 TOUT JMP addr 1110 0000 ******** addr TPC HLT 0101 0000 停机 其中JMP 为双字节指令, 微程序控制器实验的指令是通过手动给出的, 现在要求CPU 自动从存储器读取指令并执行。 根据以上要求,设计数据通路图,如图 5-1-3所示。 本实验在前一个实验的基础上增加了三个部件,一是 PC (程序计数器),另一个是AR (地址寄存器),还有就是MEM (主存)。因而在微指令中应增加相应的控制位,其微指令 格式 如表5-1-1所示。 表5-1-1微指令格式 23 22 21 20 19 18-15 14-12 11-9 8-6 5-0 M23 M22 WR RD IOM S3-S0 A 字段 B 字段 c 字段 MA5-MA0 A 字段 B 字段 C 字段 系统涉及到的微程序流程见图 5-1-4所示,当拟定“取指”微指令时,该微指令的判别 测试字段为P<1> 都使用的公用微指令,因此 P<1>的测试结果出现多路分支。本机用指令寄存器的高 6位 ******** 为addr 对应的二进制地址码。 其余均为单字节指令, 14 13 12 选择 0 0 0 NOP 0 0 1 LDA 0 1 0 LDB 0 1 1 LDR0 1 0 0 保留 1 0 1 LOAD 1 1 0 LDAR 1 1 1 LDIR 11 10 9 选择 0 0 0 NOP 0 0 1 ALU B 0 1 0 R0 B 0 1 1 保留 1 0 0 保留 1 0 1 保留 1 1 0 PC B 1 1 1 保留 8 7 6 选择 0 0 0 NOP 0 0 1 P<1> 0 1 0 保留 0 1 1 保留 1 0 0 保留 1 0 1 LDPC 1 1 0 保留 1 1 1 保留 图5-1-3数据通路图

计算机组成原理模型机实验报告

计算机组成原理模型机实验报告 实验六计算机系统综合设计与实现一、实验目得 1、深入理解计算机系统工作得基本原理,建立整机概念. 2、融会贯通计算机组成原理课程得内容,通过知识得综合运用,加深对计算机系统各模块得工作原理及相互联系得认识. 3、培养科学研究得独立工作能力,取得工程设计与组装调试得实践经验。 二、实验要求 1、将已经设计得运算器、存储器与控制器连接,构建完整得计算机系统; 2、编写一段可以实现一定功能得指令程序,进行计算机整机系统功能得验证. 3、所有任务要求功能仿真与必要得验证。实验完成后,一周内提交实验报告。 三、 实验设备 PC机+ QuartusⅡ10、0 + FPGA(DE2;115)+TEC-8实验箱四、计算机系统(TEC;8) ) 综合逻辑框图 硬连线控制器控制信号切换电路ALU A端口B端口C Z R0 R1 R2 R3 IR PC AR 双端口RAM DBUS 五、实验任务

1、将实验二得运算器、实验三得存储器与实验五得控制器连接,构建完整得计算机系统; 2、计算机整机系统功能测试,进行功能仿真与时序仿真并在DE2;115上验证。 (1)根据指令系统,编写一段可以实现一定功能得程序,要求: 有一个合理得运算功能与逻辑关系;指令数量:不少于8条; 指令类型:停机、跳转、RR、读存、写存、算术与逻辑运算; (2)将指令程序手工汇编成二进制代码; (3)理论上设置寄存器得初值,并计算程序执行后得结果; (4)将指令程序得二进制代码存入存储器RAM中; (5)将需要得运算数据初值存入寄存器R0-R3中;(6)进行程序连续运行得功能仿真与时序仿真,将仿真运算结果与理论计算结果进行比较。 六、实验步骤ﻫ实验电路图 子模块 (1 )tr i_742 44 tri74244、v module tri_74244 (en,Din,Dout);input en ; wire en ; input [7:0] Din; wire [7:0] Din ; output [7:0] Dout ; reg [7:0] Dout ; always(en or Din) begin if (en) Dout<= Din ; else

相关主题
文本预览
相关文档 最新文档