当前位置:文档之家› 数字逻辑考题及问题详解

数字逻辑考题及问题详解

数字逻辑考题及问题详解
数字逻辑考题及问题详解

数字逻辑试题1答案

一、填空:(每空1分,共20分)

1、(20.57)8 =( 10.BC )16

2、(63.25) 10= ( 111111.01 )2

3、(FF )16= ( 255 )10

4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。

5、[X]反=0.1111,[X]补= 0.1111。

6、-9/16的补码为1.0111,反码为1.0110 。

7、已知葛莱码1000,其二进制码为1111,

已知十进制数为92,余三码为1100 0101

8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。

9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。

10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F +=

11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。

12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。

13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。

二、简答题(20分)

1、列出设计同步时序逻辑电路的步骤。(5分)

答:(1)、由实际问题列状态图

(2)、状态化简、编码

(3)、状态转换真值表、驱动表求驱动方程、输出方程

(4)、画逻辑图

(5)、检查自起动

2、化简)(B A B A ABC B A F +++=(5分)

答:0=F

3、分析以下电路,其中RCO 为进位输出。(5分)

答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分)

5分 注:答案之一。

三、分析题(30分)

1、分析以下电路,说明电路功能。(10分)

解:

∑==)7,4,2,1

()7,6,5,3(m Y m X 2分

8分

2、分析以下电路,其中X 为控制端,说明电路功能。(10分)

解:XABC C B A X ABC X C B A X C B A X C B A X F ++++?+?=

4分

)()(ABC C B A X C B A X F ++⊕⊕= 4分

所以:X=0 完成判奇功能。

X=1 完成逻辑一致判断功能。 2分

3、分析以下电路,说明电路功能。(10分)

解:(1)、01Q J = , 10Q J = , 110==K K 3分

(2)、n n Q Q Q 1011=+、

n n Q Q Q 0110=+ 2分 分

(4)、

2分

该电路是3进制减法计数器 1分

四、设计题(30分)

1、 设计一个带控制端的组合逻辑电路,控制端X=0时,实现B A F ⊕=,控制端X=1

时,实现AB F =,用与非门及反相器实现。(15分)

解:

(2)、卡诺图、代数式:(4分)

A X

B A B A F ++= 或B X B A B A F ++=

(3)、画电路图:(3分)略

2、用D 触发器设计一个0110序列检测器,X 为序列输入,Z 为检测输出,其关系如下。(15分)

X :1011010110110

Z :0000100001000

解:(1)、设S0:输入1,S1:输入0,S2:输入01,S3:输入011,S4:输入0110

S4与S0等价,状态图如上。

(8分)

11010011)

(Q Q X Z Q X Q Q D Q Q X D =?+=⊕=

电路图2分

数字逻辑试题2答案

一、 填空(每空1分)

1.45,5.6875

2.101011,53,2B;1110.101,16.5,E.A

3.+1110011,01110011,01110011,01110011;

-100110,1100110,1011001,1011010

4.A + B+ C,A + B,A B + A C,A

二、

1. B + AC + A D

2.AC + B D + A D + C D

三、

1.A B + AC + BC

2.Y3 = X3⊕(X2 + X1 + X0) Y2 = X2⊕( X1 + X0)

Y1 = X1⊕X0Y0 = X0

四、

Z = XQ1 J1 = Q0 K1 = X + Q0 J0 = X K0 = X

五、

1.Z = X Q1Q0D1 =X Q0 + Q1 Q0D0 = X

(按二进制数分配)

2.D1 = Q0D0 = Q1

数字逻辑试题3答案

一填空

1、81, 3.625

2、11111110.01,11111110.01,11111110.01,11111110.01

3、(27A)H>(76.125)D>(67)O>(10110)B

4、Q n, 1

5、9, 8

6、4

7、(3FFF)H

A A+

B AB+C

8、B

9、32进制

二、组合逻辑设计题

1、(5分)F=C B A BC A C AB ABC +++=m 3d 3+m 5d 5+m 6d 6+m 7d 7

(5分)则d 3 d 5 d 6 d 7为1,其他为0,画图略。

2、(1分)假设A 、B 、C 、D 、E 、F 选上为1,选不上为0。

(1分)报据条件(1)得: 1=++B A B A AB

化简后: A+B=1 ①

(1分)根据条件(2)得: 1=++D A D A D A

化简后: 1=+D A ②

(1分)根据条件(3)得: 1=++F AE F E A EF A ③

(1分)根据条件(4)得: 1=+C B BC ④

(1分)根据条件(5)得: 1=+D C D C ⑤

(1分)根据条件(6)得: 1=++E D DE E D ⑥

要满足给定的六个选拔条件,应将上述6个式子相“与”,即

(1分)1))()()()()((=+++++++E D D C D C C B BC F AE F E A EF A D A B A ⑦

(1分)展开 ⑦式得 1=F E D ABC

即A=1,B=1,C=1,D=0,E=0,F=1

(1分)可知应选拔A 、B 、C 、F 四名学生。

三、组合逻辑分析题。

(5分)F=C B A ⊕⊕

(5分)异或功能

四、时序电路

1、状态方程:(4分)Q Q Q Q Q Q D Q n n n n n n n K J 2

122121

11

1=+===++

状态转换图(4分)

画波形图(2分)

2、L= = (4分);

C1=AB+(A+B)C(4分);

全加器(2分)

五、

1、设计题

1.(3分)画出状态迁移图.如图(1)所示:

2.(2分)列出状态表.如表(2)所示(化简前); 如表(3)所示(化简后)

3.化简状态.通过状态表可以看出,所列状态为最简状态.

4.(2分)状态分配. S0->Q1Q0=00;S1->Q1Q0=01;S2->Q1Q0=10;S3->Q1Q0=11.

5.(6分)求激励方程.如用JK触发器则激励方程为(由卡诺图(4、5)得):

Q1n+1=XQ1n Q0n+XQ1n J1=XQ0n,K1=X;

Q0n+1=XQ1n Q0n+XQ1n Q0n J O=XQ1n K0=XQ1n

6.(3分)画出逻辑电路图.如图(6)所示:

2、(5分)第一种方案:设从 Q 3 Q 2 Q 1 Q 0 = 0000 状态开始计数,取 D 3 D 2 D 1

D 0 =0000 。

采用置数控制端获得 N 进制计数器一般都从 0 开始计数。写出 S N-1 的二进制代码为S N-1 = S 10-1 = S 9 = 1001写出反馈归零(置数)函数。由于计数器从 0 开始计数,

应写反馈归零函数

(5分)第二种方案:利用后 10 个状态 0110 ~ 1111 ,取 D 3 D 2 D 1 D 0 = 0110 ,反馈置数信号从进位输出端 CO 取得。取状态 S15=1111 ,此时正好 CO=1 ,经非门,

可取代与非门。

(a)用前十个有效状态 (b)用后十个有效状态

数字逻辑试题5答案

一、填空

1.56,2.8125

2.100101B,45O,25H

101.0101B,5.24O,5.5H

3.+1111100,01111100,01111100,01111100

—11101,111101,100010,100011

4.A B ,A,AB + AC ,A+B C

二、组合设计

1.F W=

F X=

F Y=

F Z=

2.Y3 = X3 + X2X1 + X2 X0

Y2 = X3 + X2X1 + X2 X0

Y1 = X3 + X2X1 + X2 X1 X0

Y0 = X0

三、画图

F= Y3 Y4Y5 Y7

四、时序分析

Z = X Q2 Q1 + X Q2 Q1

J2 = K2 = X ⊕ Q1

J1 = K1 = 1

这是一个模4可逆的计数器,其特点是:

当X = 0 时,计数器从“0”起正向计数,从“3”回到“0”时,输出高电平,表示有进位;当X = 1 时,计数器从“3”起反向计数,从“0”回到“3”时,输出高电平,表示有借位。

五、时序设计

1.Z = X Q1 Q0

D1 = X Q0

D0 = X+ Q1 Q0

2.模8计数器加一个电路

R D = Q2Q1 Q0

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

数字逻辑第五章课后习题答案

数字逻辑第五章课后习题答案 5-1、解:(1) 列出电路的激励函数和输出函数表达式: 1111J K CP CP ==??=? 22321,1J Q K CP Q ?==??=?? 323331 ,1 J Q Q K CP Q ?==?? =?? Q 1n+1); Q 2n+1); Q 3 n+1) (2) (4) 功能描述:由状态图可知,此电路为一带自启动能力的六进制计数器。 1 2 3 4 5 6 7 8 CP Q 1 Q 2 Q 3 时间图

5-2、解:表5.29所示为最小化状态表,根据状态分配原则,无“列”相邻(行相邻在脉冲异步时序电路中不适用。),在“输出”相邻中,应给AD、AC分配相邻代码。取A为逻辑0,如下卡诺图所示,状态赋值为:A=00,B=11;C=01;D=10。于是,二进制状态表 如下,根据D触发器的激励表可画出CP2、D2、CP1、D1、Z的卡诺图, 二进制状态表 状态编码 D触发器的激励表

5-3、解: 原始状态图 5-4、解:(1)写出电路的激励函数和输出函数表达式: Y 2=x 2+x 12x 1(2)作状态流程表: (3)作时间图:

设输入状态x2x1的变化序列为00 01 11 10 00 10 11 01.初始总态为(x2x1,y2y1)=(00,00). 从本题的状态流程表推演出总响应序列为 总态响应序列表 x2 x1 y2 y1 Z 时间图 (4)电路功能:当输入状态x2x1的变化序列为01 11 10 00时,电路输出高电平1,其余情况输出低电平0.因此,该电平异步时序电路为01 11 10 00序列检测器。 5-5、解: 时间图如下

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

数字逻辑模拟试题

数字逻辑模拟试题 一.单项选择题1.表示任意两位无符号十进制数至少需要()二进制数。 A .6 B.7 C.8 D.9 2.余3码10001000对应的2421码为()。 A .01010101 B.10000101 C.10111011 D. 11101011 3.下列四个数中与十进制数(72)10 相等的是()A.(01101000)2 B. (01001000)2 C.(01110010)2 D. (01001010)2 4.某集成电路芯片,查手册知其最大输出低电平U oLmax =0.5V,最大输入低电平U lLmax =0.8V,最小输出咼电平U oHmi n= 2.7V,最小输入高电平U lHmi n= 2.0V,则其高电平噪声容限U NH=() A.0.3V B.0.6V C.0.7V D.1.2V

5 ?标准或-与式是由()构成的逻辑表达式。 A ?与项相或 B.最小项相或 C.最大项相与 D.或项相与 6.根据反演规则, F A C C DE E的反函数为()。 A. F [AC C(D E)]E B.F AC C(D E)E C. F (AC CD E)E D.F AC C(D E)E 7、对于TTL或非门多余输入端的处理,不可以()( A、接电源 B、通过0.5k Q电阻接地 C、接地 D、与有用输入端并联 8?下列四种类型的逻辑门中,可以用()实现三种基本逻辑运算。 A.与门 B.或门 C.非门 D.与非门 9.将D触发器改造成T触发器,图1所示电路中的虚线框内应是()。

A.或非门 B.与非门 C.异或门 D.同或门 10.以下电路中可以实现线与功能的有()。 A. 与非门 B.三态输出门 C.传输门 D.漏极开路门 11 ?要使JK触发器在时钟作用下的次态与现态相反, JK端取值应为()。 A. JK=00 B. JK=01 C. JK=10 D. JK=11 12?设计一个四位二进制码的奇偶校验器,需要()个异或门。 A . 2 B. 3 C. 4 D. 5 13.相邻两组编码只有一位不同的编码是() A. 2421BCD码 B.8421BCD码 C.余3 码 D.循环码14?下列电路中,不属于时序逻辑电路的是() A.计数器 B.全加器 C.寄存器 D.RAM

《数字逻辑与数字系统》期末考试试题(A)

北京邮电大学2008——2009学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 考试注意事项 一、学生参加考试须带学生证或学院证明,未带者不准进入考场。学生必须按照监考教师指定座位就坐。 二、书本、参考资料、书包等物品一律放到考场指定位置。 三、学生不得另行携带、使用稿纸,要遵守《北京邮电大学考场规则》,有考场违纪或作弊行为者,按相应规定严肃处理。 四、学生必须将答题内容做在试题答卷上,做在草稿纸上一律无效。 五、学生的姓名、班级、学号、班内序号等信息由教材中心统一印制。 考试 课程 数字逻辑与数字系统 考试时间 2009年1月13日 题号 一 二 三 四 五 六 七 八 总分 满分 10 20 10 10 10 12 14 14 得分 阅卷 教师 一、选择题(每小题1分,共10分。) 1. )D C B (B )B A (A F ++++==( ) A . B B . A+B C . 1 D .AB 2.同步时序电路和异步时序电路比较,其差异在于后者( ) A . 没有稳定状态 B . 没有统一的时钟脉冲控制 C . 输入数据是异步的 D . 输出数据是异步的 3.(10000011)8421BCD 的二进制码为( )。 A .( 10000011)2 B .(10100100)2 C . (1010011)2 D . (11001011)2 4. 74LS85为四位二进制数据比较器。如果只进行4位数据比较,那么三个级联输入端ab 、a=b 应为( )。 A . ab 接地,a=b 接地 B . ab 接高电平,a=b 接高电平 C . ab 接高电平,a=b 接地

(整理)《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

数字逻辑期末考试题

数字逻辑考试题 数字逻辑考试题(一) 一、填空(共17分,每空1分) 1. (1011.11)B =( ) D =( )H 2. (16)D =( )8421BCD 码。 3. 三态门的输出有 、 、 三种状态。 6. ABC C B A Y =),,( 的最简式为Y= 。 7. 由n 位寄存器组成的扭环型移位寄存器可以构成 进制计数器。 10. 四位环型计数器初始状态是1000,经过5个时钟后状态为 。 11. 在RS 、JK 、T 和D 触发器中, 触发器的逻辑功能最多。 12. 设一个包围圈所包围的方格数目为S ,消去的变量数目为N ,那么S 与N 的关系式应是 。 13. 在卡诺图化简逻辑函数时,圈1求得 的最简与或式,圈0求得 的最简与或式。 二、选择(共10分,每题1分) 1. DE BC A Y +=的反函数为Y =( )。 A. E D C B A Y +++?= B. E D C B A Y +++?= C. )(E D C B A Y +++?= D. )(E D C B A Y +++?= 3. 十进制数25用8421BCD 码表示为( )。 A. 10101 B. 0010 0101 C. 100101 D. 10101 4. 若用1表示高电平,0表示低电平,则是( )。 A. 正逻辑 B. 负逻辑 C. 正、负逻辑 D. 任意逻辑 5. 下逻辑图的逻辑表达式为( )。 A. AC BC AB Y = B. BC AC AB Y ++= C. BC AC AB Y ++= D. BC AC AB Y = 6. 三态门的逻辑值正确是指它有( )。 A. 1个 B. 2个 C. 3个 D. 4个 9. 组合逻辑电路在电路结构上的特点下列不正确的是( )。 A. 在结构上只能由各种门电路组成 B. 电路中不包含记忆(存储)元件 C. 有输入到输出的通路 D. 有输出到输入的反馈回路 10. 已知74LS138译码器的输入三个使能端(E 1=1,022==B A E E )时,地址码A 2A 1A 0=011,则输 出07~Y Y 为( )。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 三 、简答题(共15分,每题5分)

数字逻辑考题及答案解析

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分

2018~2019数字逻辑设计期末考题

2018~2019 数字逻辑设计期末考题 回忆 by liuxilai && 18~19年选课同学 一、简答题(6×5=30分) 1、非确定组合逻辑优化中的蕴含项、质蕴含项和实质蕴含项 2、简述SR、D、JK、D触发器的功能 3、建立时间(setup time)和保持时间的概念,并说明如何确保同步时序逻辑电路正常的工作 4、请简述摩尔机、米利机和同步米利机的概念,并说明如何将前两者转换为后者 5、状态等价的两个含义 6、状态分配的五个原则 二、组合逻辑(2×10=20分) 1、用卡诺图化简以下函数,画卡诺图,并写出蕴含项与实质蕴含项(SOP) F(A,B,C,D)=m(0,2,8,9,10,14)+d(3,4,5) 2、用QM算法化简以下函数 F(A,B,C,D)=m(0,1,2,5,6,7,8,9,10,14)+d(12) 三、时序逻辑(15×2=20) 1、用163计数器设计从1~24计数的计数器,输入为时钟信号CLK,,使能信号En,输出为进位ECO与h[4:0]。 2、使用蕴含表法化简以下状态表 四、状态机设计(30分) 1(10分)、用verilog语言,设计识别串行同步输入序列为1的同步米利机,初始状态复位时输出为0,当1的个数被3整除时输出为1. 2、(20分)米利机,当输入序列中出现011或101时,输出z0有效,序列可重叠。

1(10分)、给出以下状态图,补充完整(图中状态内的编码与输入序列无关)。 2)使用D触发器与最少的NAND与非门,根据上面的状态分配设计电路 (2分)画出二进制状态表 (8分)触发器激励输入与电路输出的卡诺图化简,并写出化简后的函数。

数字逻辑第一章课后答案

(1)(1011.10101)2 =(13.52)8=(0B.A8)16=(11.65625)10 (2)(1110.11001)2 =(16.62)8=(0E.C8)16=(14.78125)10 (3)(110110.111)2 =(66.7)8=(36.E )16=(54.875)10 (4)(10101.0011)2 =(25.14)8=(15.3)16=(21.1875)10 1-2 (1)(105.625)10 =(1101001.101)2=(69.A )16 (2)(27/64)10 =(0.011011)2=(0.6C )16 (3)(37.4)10 =(100101. 01100110)2=(25.66)16 (4)(42.375)10 =(101010. 011)2=(2A.6)16 (5)(62/128)10 =(0.0111110)2=(0.7C )16 (6)(9.46)10 =(1001. 01110101)2=(9.75)16 1-3 (1)(AB.7)16 =(10101011. 0111)2=(171.4375)10 (2)(3A.D )16 =(111010. 1101)2=(58.8125)10 (3)(5F.C8)16 =(1011111. 11001)2=(95.78125)10 (4)(2E.9)16 =(101110. 1001)2=(46.5625)10 1-4 (1)真值表 (2)真值表 逻辑函数表达式: 逻辑函数表达式: 1-5 (1)反函数: 对偶函数: (2)反函数: 对偶函数: (3)反函数: 对偶函数: (4)反函数: 对偶函数: AB BC F +++??=ABCD D C AB D C B A D C B A D BC A D C B A CD B A D C B A F +?++?++?+?+???=ABC C A B A A C B A F =?+=+?+=)()(A C B A F +?+=)('C B A C B A B A C B A B A F )()()()(⊕=??+?=?+?+=C B A B A F ?+?+=)()('))()(())((E D B C A C B A F ++?+??+=))()(()(B ++?+?++=))()(())(('E D B C A C B A F ++?+??+=) ()('D C A C B A C A F ++?+++?=D C A ??+?=)()(D C A C B A C A F ++?+++?=

奥鹏西交年《数字逻辑电路》作业考核试题

西交16年《数字逻辑电路》作业考核试题 一、单选题(共 30 道试题,共 60 分。) 1. 通常寄存器应具有()功能。 A. 存数和取数 B. 清零和置数 C. A和B都有 [正确]D. 只有存数、取数和清零,没有置数。 满分:2 分 2. 用二进制异步计数器从0做加法,计到十进制数178,则最少需要()个触发器。 A. 2 B. 6 C. 7 [正确]D. 8 E. 10 满分:2 分 3. 数字系统中,采用( )可以将减法运算转化为加法运算。 A. 原码 B. ASCII码 [正确]C. 补码 D. BCD码 满分:2 分 4. 奇偶校验码满足()。 A. 能纠错的要求 [正确]B. 发现单错的能力 C. 对码的位序有特定要求 D. 传输正确的代码的校验和必为零 满分:2 分 5. 将十进制小数转换为二进制数一般采用() A. 乘2取余法 [正确]B. 乘2取整法 C. 乘10取余法 D. 乘10取整法 满分:2 分 6. 符合六变量m5的相邻最小项,有下列()说法成立。 A. 共有5 个相邻最小项 B. m0、m4、m7、m13是它的相邻项 [正确]C. 共有6 个相邻最小项 D. m4、m21、m13、m38是它的相邻项 满分:2 分 7. 一位8421BCD计数器,至少需要()个触发器 A. 3 [正确]B. 4

C. 5 D. 10 满分:2 分 8. 全部的最小项之和恒为()。 A. 0 [正确]B. 1 C. 0或1 D. 非0非1 满分:2 分 9. 要想把串行数据转换成并行数据,应选()。 A. 并行输入串行输出方式 B. 串行输入串行输出方式 [正确]C. 串行输入并行输出方式 D. 并行输入并行输出方式 满分:2 分 10. 对于两个4位二进制数A(A3A2A1A0)、B(B3B2B1B0),下面说法正确的是()。[正确]A. 如果A3>B3,则A>B B. 如果A3<B3,则A>B C. 如果A0>B0,则A>B D. 如果A0<B0,则A>B 满分:2 分 11. 在状态化简中,判断状态等效与状态相容时不同点是()。 [正确]A. 传递性 B. 次态应满足的条件 C. 隐含表的作用 D. 最大等效类与最大相容类的的确定 满分:2 分 12. 标准与或式是由()构成的逻辑表达式 A. 与项相或 [正确]B. 最小项相或 C. 最大项相与 D. 或项相与 满分:2 分 13. 对于四变量逻辑函数,最小项有()个 A. 0 B. 1 C. 4 [正确]D. 16 满分:2 分 14. 组合逻辑电路()。 A. 具有记忆功能 [正确]B. 没有记忆功能 C. 有时有记忆功能,有时没有 D. 以上都不对

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

数字逻辑电路(王秀敏主编)课后习题答案第二章

第1章 概述 检 测 题 一、填空题 1. 在时间和数值上都是连续变化的信号是_______信号;在时间和数值上是离散和量化 的信号是_______信号。 2. 表示逻辑函数常用的方法有4种,它们是_______,________,________,_______。 3. 正逻辑体制高电平用逻辑_____表示,低电平用逻辑_____表示。 4. 任何进位计数制,数值的表示都包含两个基本的要素:_______和_______。 5. 102816(9 6.75)( )( )( )=== 二、请完成下列题的进制转换 1.210(1011001)( )= 810(736.4)( )= 1610(34)( )F C = 2.112(30)( )= 102(16.6875)( ) = 3.28(1011101)( )= 28(1010010.11010)( )= 4.82(127.65)( )= 162(9.16)( ) A = 5.216(1110101100)( )= 216(1111.001)( ) =

三、选择题 1.在下列各数中,最小的数是( ) (a) 2(101001) (b) 8(52) (c) 16(2)B (d) 10(96) 2. 8421(100110000110)( )BCD 余3BCD (A)100110001001 (B)100110001000 (C)110010000110 (D)101100001100 四、简述题 1.为什么在数字系统中通常采用二进制/ 2.何为进位计数制? 何为码制? 何为正、负逻辑? 3.算术运算、逻辑运算和关系运算的区别? 检测题答案 一、填空题 1. 答案:模拟,数字 2. 答案:真值表,逻辑函数式,逻辑图,卡诺图。 3. 答案:1,0;0,1 4. 答案:基数,位数 5. 答案:1100000.11,140.6,60.0 二、请完成下列题的进制转换 1. 89; 478.5; 8012 2. 11110; 10000.1011 3. 135; 122.62 4. 1010111.110101; 10011010.00010110 5. 3AC ; F.2 三、选择题 1.答案:A 2. 答案:A 四、简述题 答案:略

广工EDA数字逻辑课后习题问题详解

习题答案 第1章 一、单选题 (1)B (2)C (3)B (4)C (5)D (6)B (7)C (8)D (9)C (10)C (11)D (12)D (13)A (14)D 二、判断题 (1)√ (2)√ (3)× (4)× (5)× (6)× (7)√ (8)× 三、填空题 (1)10000111.101、207.5、87.A (2)185.75 (3)1001 0100 (4)B A ?、B A +、B A B A +、AB B A + (5)C B A ABC C AB ++ (6)C A AD ? (7)B A B A + (8)2n (9)1 (10)1 四、综合题 (1) ① B A B A AD B B A AD DE B B A AD C A A C DE C B B D C A A C B DE C B B BD C A A Y +=++=++=++++=+++++=+++++=)1()()()()(

② B A B A B A D D B A B A A D B D B A B A B B A D B A D B A B A B A AB Y +=+++=++++=+++++=+++++=)1)(())(())()(())(( ③ D B C B A D C D B C B DE B B A C A D B D C C B DE B C B C A D BC A D B D C C B DE B A C B A AC DE B A D BC A C B A D C D B C B AC Y ++=+++++=+++++++=+++++++=+++++++=)1()1()()()( (2) ① BCD C B D B A B A D C B A Y ++++= 函数卡诺图如下: 化简结果为:BD D A D C Y ++= ② F(A,B,C,D)=Σm(0,2,4,5,6,7,8,10,12,14) 函数卡诺图如下: 化简结果为:D B A D C B A F +=),,,( ③ F(A,B,C,D)=Σm(1,2,6,7,10,11)+Σd(3,4,5,13,15)

数字逻辑试题

本科试卷(十) 一、选择题(每小题2分,共30分) 1.下面逻辑式中,正确的是________。 A . B. C. D. 2.逻辑函数F=A (A B )的值是________。 A . B B. A C .A B D. 3.与最小项表达式F(A,B,C)=m 0+m 2+m 5+m 7等价的逻辑函数为________。 A. F=A ⊙C B. C. D.F=∑(0,5) 4. 、 、 、 、 是五个开关,设它们闭合时为逻辑1,断开时为逻辑0,电灯 F=1时表示灯亮,F=0时表示灯灭。若在五个不同的地方控制同一个电灯的灭亮,逻辑函数F 的表达式是_______。 A . B. C. D. ⊙⊙⊙⊙ 5.用低电平为输出有效的译码器实现组合逻辑电路时,还需要_______。 A .与非门 B.或非门 C.与门 D.或门 6.逻辑函数,当变量的取值为______时,不出现冒险现象。 A .B=C=1 B .B=C=0 C .A=1 ,C=0 D .A=0,B=0 7.集成计数器的模值是固定的,但可以用_______来改变它们的模值。 A.复0和复9 B.置数法和复位法 C.改变初值法 D. 控制CP 脉冲 8.同步时序电路和异步时序电路比较,其差异在于后者_____。 A .没有触发器 B .没有统一的时钟脉冲控制 C .没有稳定状态 D .输出只与内部状态有关 9.有S1,S2两个状态,在相同输入条件下_____,可确定S1和S2不等价。 A .输出相同 B .输出不同 C .状态相同 D .状态不同 10.一个T 触发器,在T=1时,加上时钟脉冲,则触发器_____。 A .保持原态 B .置0 C .置1 D .翻转 11.下面说法错误的是_______。 A .一个RAM 有三组信号线,地址线,数据线,读/写命令线。 B .RAM 中地址线是双向的,它传送地址码,以便按地址码访问存储单元。 C .RAM 中数据线是双向的。 D .RAM 中读写命令线是单向的,它是控制线。 A B A B ⊕= 1A A +=0A A ?=1A A +=⊕⊕⊕A B C B A BC A F +=C A C A F +=1 a 2 a 3 a 4 a 5 a 12345 a a a a a 12345 a a a a a ++++12345 a a a a a ⊕⊕⊕⊕1a 2a 3a 4a 5a F A C AB BC =++

2015《数字逻辑设计》期末考试-试题及参考解答

………密………封………线………以………内………答………题………无………效…… 电子科技大学2014 -2015学年第 2 学期期末考试 A 卷 课程名称:数字逻辑设计及应用考试形式:闭卷考试日期:2015年07 月13 日 考试时长:120 分钟 课程成绩构成:平时20/30 %,期中20/30 %,讨论20/0 %,期末40 % 本试卷试题由IV 部分构成,共 4 页。 I. Please fill the correct an swers in the brackets “( )” . ( 4’ X 10 = 40’ ) 1. For an 8-bit DAC (Digital Analog Converter), when the input is 010000002, its output voltage is 1.25V; If the input is 101000002 , the output voltage will be ( 3.125 或等效算式)V. 2. A state transition equation is Q*=JQ’+K’Q. If we use D flip-flop to complete the equation,the input of D flip-flop should be D = ( JQ’ + K’Q). 3. For a counter with N flip-flops, it has at most ( 2n) states. If the counter is Johnson counter, it has ( 2n) valid states. 4. After modification, an N-bit LFSR (Linear Feedback Shift Register) has (2N或2N-1) states. 5. D latch is also called transparent latch, it has two input signal D and C, one output signal Q. The relationship between the output Q and the input D is ( Q*=DC + QC' 或C=1时Q*=D, C=0时Q*=Q ). 6. To build a 64K x 8 ROM, we need ( 16 ) 4K x 8 ROM . 7. Only when both two-bit inputs A(A1,A0) and B(B1,B0) are equal, the output AEQB is 1, so the logic expression of AEQB is ( (A1⊙B1)·(A2⊙B2) 或其他等效表达). 8. State/output table for a sequential circuit is shown as table 1. X is input and Z is output. Assume that the initial state is S0, if the input sequence is X=10110110, the output sequence should be ( 100011108位或9位均算正确). 9. Transition/output table for a sequential circuit is shown in Table 2, X is input and Y is output, the sequential circuit is a modulus ( 4) up/down counter.

数字逻辑课后习题答案

习题五 5.1 分析图5.35所示的脉冲异步时序电路。 解:各触发器的激励方程和时钟方程为: 1K J 11==;1K ,Q J 232==;1K ,Q Q J 3323== CP CP 1=;132Q CP CP == ∴各触发器的状态方程为: 11n 1Q Q =+ (CP 的下降沿触发); 321n 2 Q Q Q =+ (Q 1的下降沿触发); 3 21 n 3Q Q Q =+ (Q 1的下降沿触发) 该电路是一能自启动的六进制计数器。 5.2 已知某脉冲异步时序电路的状态表如表5.29所示,试用D 触发器 和适当的逻辑门实现该状态表描述的逻辑功能。 解:表5.29所示为最小化状态表。根据状态分配原则,无“列”相邻 (行相邻在脉冲异步时序电路中不适用。),在“输出” 相邻中,应 给AD 、AC 分配相邻代码。取A 为逻辑0,如下卡诺图所示,状态赋 值为:A=00,B=11;C=01;D=10。于是,二进制状态表如下,根据 D 触发器的激励表可画出CP 2、D 2、CP 1、D 1、Z 的卡诺图,得到激励函数和输出函数,以及画出所设计的脉冲异步时序电路。

得激励方程和输出方程: 22x CP =; 32212x x Q x D ++=; 3221x x Q CP +=; 31211x Q x Q D +=; )Q Q (x Q x Q x Z 2 132313+=+=。 5.3 设计一个脉冲异步时序电路,该电路有三个输入端x 1、x 2和x 3,一个输出端Z 。仅当输入序列x 1-x 2-x 3出现时,输出Z 产输出脉冲,并且与输入序列的最后一个脉冲重叠。试作出该电路的原始状态图和状态表。 解:

数字逻辑期末试题及答案

西 安 金 戈 技 术 学 校 2008年秋季学期期末考试数字逻辑电路试卷 注意事项 1. 考试时间:120 分钟。 2. 本卷依据2005年颁布的《技工类院校考试要求》命制。 3. 请首先按要求在试卷的规定位置填写您的姓名、准考证号和所在班级。 4. 请仔细阅读各种题目的回答要求,在规定的位置填写您的答案。 5. 不要在试卷上乱写乱画,不要在试卷上填写无关的内容。 一. 判断: 1.数字电路抗干扰能力强的原因是只传递1和0的数字信息.. ( ) 2.BCD 码就是8421BCD 码. ( ) 3.卡诺图是真值表示的另一种形式,具有唯一性. ( ) 4.二极管可组成与门电路,但不能组成或门电路. ( ) 5.三极管非门电路中的三极管主要工作在放大状态. ( ) 6.组合逻辑电路中有具有记忆功能的逻辑部件. ( ) 7.译码是编码的逆过程. ( ) 8.触发器也称为半导体存贮单元. ( ) 9.同步触发器存在空翻现象,而边沿型触发器和主从型触发器克服了空翻. 10.JK 触发器的JK 端相当KS 触发器的SR 端. ( ) 二.选择: 1.若编码器编码输出位数为4位,则最多可对( )个输入信号编码. A.4 B.6 C.16 D.32 2.16选1数据选择器其地址输入至少应有( )位. A.2 B.4 C.8 D.16 3.半加器有( ),全加器有( ) A.2个输入端,2个输出端 B.2个输入端,3个输出端 C.3个输入端,2个输出端 D.3个输入端,3个输出端 4.二极管可组成的电路( ) A.只能是与门 B.只能是或门 C.与门和或门都可以 D.非门 5.通常能实现“线与”功能的门电路是( ) A.OC 门 BTSL.门 C.TTL 与门 6.BCD 码是( ) A.二进制码 B.十进制码 C.二一十进制码 7.下列逻辑函数表示方法中,具有唯一性的是(多选)( ) A.真值表 B.逻辑表达式 C.逻辑图 D.卡诺图 8.下列选项中不属于卡诺图特点的是( ) … … ……… ……考……………生… ……… …答……………题……………不……………准……………超……………过……………此……………线…………………

数字逻辑课本习题答案

习题五 1. 简述时序逻辑电路与组合逻辑电路的主要区别。 解答 组合逻辑电路:若逻辑电路在任何时刻产生的稳定输出值仅仅取决于该时刻各输入值的组合,而与过去的输入值无关,则称为组合逻辑电路。组合电路具有如下特征: ①由逻辑门电路组成,不包含任何记忆元件; ②信号是单向传输的,不存在任何反馈回路。 时序逻辑电路:若逻辑电路在任何时刻产生的稳定输出信号不仅与电路该时刻的输入信号有关,还与电路过去的输入信号有关,则称为时序逻辑电路。时序逻辑电路具有如下特征: ○1电路由组合电路和存储电路组成,具有对过去输入进行记忆的功能; ○2电路中包含反馈回路,通过反馈使电路功能与“时序”相关; ○3电路的输出由电路当时的输入和状态(过去的输入)共同决定。 2. 作出与表1所示状态表对应的状态图。 表1 状态表 现态y2 y1 次态y2 ( n+1)y1(n+1) /输出Z x2x1=00x2x1=01x2x1=11x2x1=10 A B C D B/0 B/0 C/0 A/0 B/0 C/1 B/0 A/1 A/1 A/0 D/0 C/0 B/0 D/1 A/0 C/0

解答 根据表1所示状态表可作出对应的状态图如图1所示。 图1 3. 已知状态图如图2所示,输入序列为x=,设初始状态为A,求状态和输出响应序列。 图2 解答 状态响应序列:A A B C B B C B 输出响应序列:0 0 0 0 1 0 0 1

4. 分析图3所示逻辑电路。假定电路初始状态为“00”,说明该电路逻辑 功能 。 图 3 解答 ○1 根据电路图可写出输出函数和激励函数表达式为 x K x,J ,x K ,xy J y xy Z 111121 2===== ○2 根据输出函数、激励函数表达式和JK 触发器功能表可作出状态表如表2所示, 状态图如图4所示。 表2 图4 现态 y 2 y 1 次态 y 2( n+1)y 1(n+1)/输出Z x=0 x=1 00 01 10 11 00/0 00/0 00/0 00/0 01/0 11/0 11/0 11/1

2013数字逻辑期末试卷试题及答案

一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 4. 从结构上看,时序逻辑电路的基本单元是 。 5. JK 触发器特征方程为 。 二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 +U CC +U CC -U BB R R K R C R B A B C F

5. 在下列逻辑部件中,属于组合逻辑电路的是()。 A) 计数器B) 数据选择器C) 寄存器D) 触发器 6. 已知某触发器的时钟CP,异步置0端为R D,异步置1端为S D,控制输入端V i和输出Q的波形如图2所示,根据波形可判断这个触发器是()。 图2 A) 上升沿D触发器B) 下降沿D触发器 C) 下降沿T触发器D) 上升沿T触发器 7. 寄存器要存放n位二进制数码时,需要( )个触发器。 A) n B) n log C) n2D) n/2 2 8. 下面哪种不是施密特触发器的应用:( ) A) 稳定频率脉冲输出B) 波形变换C) 脉冲整形D) 脉冲鉴幅 9. 下列哪个不能用555电路构成:( ) A)施密特触发器B)单稳态触发器C)多谐振荡器D)晶体振荡器 三、简答题(15分) 1.用公式法化简逻辑函数:Y=A'BC +(A+B')C (7分)

文本预览
相关文档 最新文档