当前位置:文档之家› 基于MULTISIM仿真软件的发电机励磁控制器设计

基于MULTISIM仿真软件的发电机励磁控制器设计

基于MULTISIM仿真软件的发电机励磁控

制器设计

摘要:现代研发愈来愈多依赖仿真软件进行指导。本文通过对技术要求进行了理论分析,并设计了

一种发电机励磁控制器。同时通过MULTISIM仿真软件对这种发电机励磁控制器进行了仿真模型搭建,整个

仿真系统当中包含了各种保护控制,涵盖过压保护、欠压保护以及浪涌保护等功能。最终利用仿真结果指导

设计了实物控制器模型,成功与发电机进行了联合运行,验证了理论方案的合理性和准确性。

关键词:电路仿真;MULTISIM;发电机励磁控制器

1.引言:

在快速发展的今天,如何加速科研步伐,加强科研能力显得至关重要。在以往,企业和科研机构通常通过硬件开发平台进行新产品的研制,这种方式要求研

发人员利用现有器件搭建一个新产品的简易原型,然后再进行开发与调试。现如今,这种开发方式因为其周期长、灵活性差、不易更改等缺点,已经越来越难以

满足当今快速的开发节奏。所以,各种电路仿真软件应运而出。研发人员可以通

过软件模拟实际电路的运行工况,并且实时调节电路结构和元件参数,极大地加

速了研发进程。

目前,电路仿真软件种类繁多,使用场合多种多样。其中,较为常用的有SIMULINK[1]、Saber[2]、Multisim[3]、Psim[4]、Pspice[5]等等。本文则利用上述软

件中的Multisim仿真工具进行了整个发电机励磁控制器的模型搭建和仿真调试

验证。并最终利用此仿真指导搭建出了实物模型,能够完成与发电机的联合运行

任务。

2.发电机控制基本原理和要求

本文需要控制的发电机为航空发电机。主要给平台和机载设备提供能源供应,保障各种飞行条件下设备的电源供应。整个发电机系统的控制原理如下图 1所示:

图 1 发电机系统控制原理图

从图中可以看到,交流发电机的输出电压经过整流后与发电机控制器相连,给控制器供给工作电压。同时,发电机控制器检测发电机实际输出电压,并利用闭环反馈回路改变发电机的输出励磁电流,从而保持发电机能够保持稳定的输出电压。

在初始启动阶段,由于缺少励磁电流,发电机并没有电压输出。所以在初始条件下,需要加入辅助激磁去激励发电机的电压输出,并且在发电机的输出电压能够自供控制器的正常工作时,撤掉辅助激磁,由发电机为控制器提供电源。

发电机的总体性能指标和需求具体见表 1所示:

表 1 发电机总体性能指标

额定电

28V

额定功

8kW

额定电

285A

建压转

1700r/min

额定转4500r/min-

速6500r/min

辅助激

12VDC或28VDC

调压精

±1.5V

脉动电

≤1.5V

过压切换动作值及延迟

32±

0.5V

切换

即可

35±

0.5V

0.07-

0.09s

欠压切换动作值及延迟

24±

0.5V

切换

即可

22±

0.5V

0.14-

0.18s

通过上述要求可以分析出,在主要的调理电路当中,应存在两路可控电路,在常用回路出现故障时候能够切换到备用回路。并且在控制功能上,需要拥有过压保护、欠压保护以及浪涌保护等功能。最后,还需要实时监测发电机的实际输出电压和电流。

3.发电机励磁控制器的设计

3.1.主功率回路设计

通常,此发电机的输出电压要高于其励磁电压。考虑到励磁电压也来自于输出电压,所以选取常用的buck电路结构。如图 2:

图 2 控制器主功率回路

继电器常开触点所对应的调压回路为备用调压回路。并且在整个公用回路当中设置了一个开关管,用于在发生浪涌过压时,关断励磁输出,保护整个电路和电机。

此控制器要求在切换到备用回路后,即使电压已经恢复正常,仍然不能切换到常用回路,因为此时常用回路极大可能已经无法正常调压。所以对继电器的线圈电压加入了两个三极管组成的自锁电路,一旦切入备用调压后,自锁电路能够保持继电器一直吸合,从而维持控制器在备用调压回路中工作。

3.2.调压控制电路设计

调压回路中的MOS管需要利用PWM电压波形进行驱动控制。本文采取的是常用的1525控制芯片。1525是一种通用性很强的PWM控制芯片,在本文中,其外围电路如图 3所示:

图 3 1525及其外接电路

图中C7,R31组成了振荡器的充电回路,C7,R30组成了振荡器的放电回路,用以调节PWM波形的输出频率。参考信号来自芯片自身的5V输出分压得到2.5V

的电压。考虑到Buck电路的闭环特性,利用芯片自带的反馈运放组成了2型补

偿网络,增强了系统的稳定性和响应速度。

由于1525是双路输出,而且因为死区的存在,其单路输出最高占空比不会

超过0.5。在此控制器当中,1525只需控制一个开关管,所以将两路合并一起输出,此时最高占空比可接近1,提高了其利用率。考虑到1525的驱动能力不足,

在PWM输出侧还加入了三极管驱动电路。

4.MULTISIM仿真结果

在MULTISIM中仿真搭建原理图与设计原理图基本相同。但是考虑到MULTISIM仿真软件的运行特性,做了少许修改。

由于仿真软件会先进行直流稳态分析再在此基础上进行瞬态分析。所以对于

自锁电路,需要在三极管的集极并如一个初始电压为0V的电容,从而保证其在

仿真初始时刻为截止状态。如图 4所示:

图 4 MULTISIM中自锁电路

考虑到MULTISIM中没有1525的控制芯片,直接利用运放和PWM发生器进行

了原理性搭建,如图所示,与1525相比,缺少了双路输出特性、软启动等特性。但在原理验证上仍然存在参考价值。如图 5所示:

图 5 MULTISIM中Mosfet控制及驱动电路

主回路中设置了两个人工控制开关,其中一个模拟辅助继电器。只有在启动过程中提供辅助激磁,启动完成后断掉辅助激磁。另一个模拟负载继电器,此开关闭合后代表电机带动负载,否则为空载运行。

最终可以看到,在辅助激磁接入后,发电机能够正常启动,并且断开辅助激磁后,系统仍然可以正常工作,电机稳定提供28V输出电压。如图 6所示:

图 6 辅助激磁启动波形

在电路稳定工作时突加大负载,使得输出电压短时下降到保护值,能够发现其能够成功切换到备用调压电路,并且在发电机输出恢复正常后,仍然能保持在备用调压回路中工作。如图 7所示:

图 7 欠压检测调试波形

为了测试过压保护是否正常,在仿真中直接利用辅助激磁端供给一个脉冲型的过压电压,能够看到系统也能够切换到备用调压电路。与理论设计相符合,如图 8所示:

图 8 过压检测调试波形

5.试验与验证

由于仿真系统已经验证了整个设计的正确性和完整性,所以直接以此为参考,制作了相应的实物模型。

在进行控制器的单板调试时,将直流电源加在辅助激磁端,励磁暂时接入阻

性负载。直流电源由0V逐步增加。可以观察到,在直流电源增加到18V左右,

控制电路的电源模块能够开始输出工作电压,1525芯片开始输出PWM波形。此时

由于输出电压不可控,等同于辅助电压,所以,PI调节器中的积分环节会一直累

加误差值,使得开关管的驱动占空比迅速达到最大值。如图 9所示:

图 9 调压测试

当直流电源增加到28V以上时,代表此时需要降低占空比,而由于输出电压

为辅助电压缘故,调节器使得占空比迅速下降,直到降至0,开关管保持关断。

图 10为零启动测试波形。辅助电源从0V逐渐增加到28V,然后再降低到

24V以下。可以发现在启动阶段并没有切换到备用调压,说明启动阶段的欠压保

护屏蔽正常。然后在启动后降低到24V以下,有备用切换信号,并且在调节辅助

电源重新回到正常工作点后,备用切换信号仍然能够保持,表明自锁电路和欠压

保护都正常工作。

图 10 欠压保护测试

图 11为突加电源测试波形。辅助电源直接升到28V,然后再逐渐增加到32V 以上并回调至28V。可以发现,突加电源,电路工作仍然正常,在发生过压时,控制电路能够完成切换。在电压回归正常后,备用切换信号仍然保持高电平,表明自锁电路和过压保护都正常工作。

图 11 过压保护测试

电机与控制器的联合测试时,辅助激磁使用20V直流源。在电机启动转速为1700转/分时,给予辅助激磁5秒钟再断开,控制器仍然能够正常工作。表明电机的输出电压已经能够自供给控制电路。然后再将发电机增加到额定转速4500转/分时,其输出电压仍然可以稳定在28V左右,代表控制器工作正常。

6.结论

通过上述仿真和实验可以看出,实验的结果和仿真基本相同。尤其是在原理上,仿真对实验有着极大的指导作用。并且,相比于实物的搭建时间,仿真的搭建时间极短,测试灵活性更高,运作成本更低,还不用担心高压电路或短路等安全问题。利用仿真软件进行电路设计,极快地加速了研发流程。在今后的科研生产过程中,仿真软件也必将占据极大地科研地位。

7.参考文献

[1]付秀伟, 付莉. SimPowerSystem电路仿真平台在开放实验室中的应用[J]. 吉林化工学院学报, 2018, 35(1): 28-32.

[2]王伟基于Saber仿真的卫星电源电路分析与优化研究[D]. 天津大学, 2015.

[3]王清亮, 刘青峰, 张锐. 基于Multisim的电路仿真设计研究[J]. 科技

创新与应用, 2016, (20): 61-62

[4]S Onoda, A Emadi. PSIM-based modeling of automotive power systems: conventional, electric, and hybrid electric vehicles[J]. IEEE Transactions on Vehicular Technology, 2004, 53(2): 390-400.

[5]张东辉, 严萍, 高迎慧, 等. Pspice电路仿真中变压器模型的使用[J].

电气应用, 2007, 26(1): 21-26.

[6]P Maya-Ortiz, G Espinosa-Perez. Output feedback excitation

control of synchronous generators[J]. International Journal of

Robust&Nonlinear Control, 2010, 14(9-10): 879-890.

他励直流电动机调速multisim仿真设计

他励直流电动机调速multisim仿真设计 摘要: 一、引言 二、他励直流电动机的工作原理 三、他励直流电动机的调速方法 1.降低电枢端电压调速 2.电枢串联电阻调速 3.弱磁调速 四、multisim仿真软件介绍 五、他励直流电动机调速multisim仿真设计步骤 1.创建仿真电路 2.设定参数 3.进行仿真实验 4.分析结果 六、结论 正文: 一、引言 他励直流电动机广泛应用于各种电气设备中,如电动汽车、风力发电机等。调速是他励直流电动机的重要功能之一,通过调速可以满足不同工况下对电机转速的要求,提高系统的效率和稳定性。本文将介绍他励直流电动机的调速方法,并利用multisim仿真软件进行仿真设计。

二、他励直流电动机的工作原理 他励直流电动机是一种以直流电源为动力,通过电磁感应原理将电能转化为机械能的电机。在电机运行过程中,电枢电流产生的磁场与永磁体磁场相互作用,产生转矩,使电机旋转。 三、他励直流电动机的调速方法 1.降低电枢端电压调速 降低电枢端电压可以减小电枢电流,从而降低磁场强度,使电机转速下降。这种调速方法简单,但调速范围有限。 2.电枢串联电阻调速 在电枢回路串联电阻,可以限制电枢电流,从而改变磁场强度,实现调速。这种方法调速范围较大,但存在能量损耗。 3.弱磁调速 通过改变永磁体的磁化强度,可以调整磁场强度,实现调速。这种方法调速范围较大,且无能量损耗,但需要额外的弱磁设备。 四、multisim仿真软件介绍 multisim是一款强大的电路仿真软件,可以模拟各种电气系统的运行,包括模拟直流电动机调速过程。 五、他励直流电动机调速multisim仿真设计步骤 1.创建仿真电路 根据他励直流电动机的原理,构建仿真电路,包括直流电源、电枢、永磁体、调速器等元件。 2.设定参数

基于MULTISIM仿真软件的发电机励磁控制器设计

基于MULTISIM仿真软件的发电机励磁控 制器设计 摘要:现代研发愈来愈多依赖仿真软件进行指导。本文通过对技术要求进行了理论分析,并设计了 一种发电机励磁控制器。同时通过MULTISIM仿真软件对这种发电机励磁控制器进行了仿真模型搭建,整个 仿真系统当中包含了各种保护控制,涵盖过压保护、欠压保护以及浪涌保护等功能。最终利用仿真结果指导 设计了实物控制器模型,成功与发电机进行了联合运行,验证了理论方案的合理性和准确性。 关键词:电路仿真;MULTISIM;发电机励磁控制器 1.引言: 在快速发展的今天,如何加速科研步伐,加强科研能力显得至关重要。在以往,企业和科研机构通常通过硬件开发平台进行新产品的研制,这种方式要求研 发人员利用现有器件搭建一个新产品的简易原型,然后再进行开发与调试。现如今,这种开发方式因为其周期长、灵活性差、不易更改等缺点,已经越来越难以 满足当今快速的开发节奏。所以,各种电路仿真软件应运而出。研发人员可以通 过软件模拟实际电路的运行工况,并且实时调节电路结构和元件参数,极大地加 速了研发进程。 目前,电路仿真软件种类繁多,使用场合多种多样。其中,较为常用的有SIMULINK[1]、Saber[2]、Multisim[3]、Psim[4]、Pspice[5]等等。本文则利用上述软 件中的Multisim仿真工具进行了整个发电机励磁控制器的模型搭建和仿真调试 验证。并最终利用此仿真指导搭建出了实物模型,能够完成与发电机的联合运行 任务。 2.发电机控制基本原理和要求 本文需要控制的发电机为航空发电机。主要给平台和机载设备提供能源供应,保障各种飞行条件下设备的电源供应。整个发电机系统的控制原理如下图 1所示:

Multsim在单片机控制技术课程中的仿真设计

Multsim在单片机控制技术课程中的仿真设计 Multisim 是一款多功能的电子电路仿真软件,被广泛应用于电子教育和电子工程领域。在单片机控制技术课程中,Multisim 可以帮助学生设计和模拟各种电路,并帮助他们理解电子电路的原理和工作方式。 首先,Multisim 可以模拟各种单片机控制电路。例如,我们可以使用 Multisim 设计和模拟一个基于 8051 单片机的双向电机控制电路。该电路具有输入信号,可以使电机正转或反转,并具有速度控制功能。使用 Multisim,我们可以模拟该电路的性能,帮助学生理解该电路的工作原理和使用注意事项。 其次,Multisim 还支持多种电子元件和器件的仿真。例如,我们可以使用 Multisim 模拟一个被动式 RC 低通滤波器电路,并观察滤波器对信号的影响。我们还可以使用 Multisim 模拟一个基于光敏电阻的光控开关电路,并观察光控开关对电路的影响。这些仿真实验可以使学生了解电子元件的特性和使用方法,加深他们的理解和记忆。 此外,Multisim 还支持虚拟仪器模拟。例如,我们可以使用Multisim 模拟一个基于逻辑分析仪的逻辑电路分析实验。学生可以将逻辑分析仪与他们设计的电路连接,并观察电路的输入和输出信号,以检查电路的正确性。这种虚拟仪器模拟可以使学生了解虚拟仪器的使用方法和特点,增强他们的工程实践能力。 综上所述,Multisim 在单片机控制技术课程中是一个非常有用

的仿真设计工具。它能够帮助学生理解多种电子电路的原理和工作方式,促进他们的学习和发展。因此,教育工作者应该在单片机控制技术课程中广泛使用 Multisim,以提高学生的实验能力和学习质量。

他励直流电动机调速multisim仿真设计

任务名称:他励直流电动机调速multisim仿真设计 一、引言 在现代工业领域中,电动机作为一种重要的动力装置,广泛应用于各种机械设备中。而直流电动机由于具有调速范围广、响应快等优点,被广泛应用于需要精确控制转速的场合。为了实现直流电动机的调速控制,我们可以利用Multisim软件进行仿 真设计。本文将详细介绍他励直流电动机调速Multisim仿真设计的方法与步骤。 二、他励直流电动机调速原理 他励直流电动机调速是通过改变电动机的励磁电流来实现的。在他励直流电动机中,励磁电流的大小决定了磁场的强弱,进而影响电动机的转速。通过控制励磁电流的大小,我们可以实现对电动机转速的精确调节。 三、Multisim软件简介 Multisim是一种基于电路仿真的软件,可以模拟和分析各种电路的性能。它提供 了直观的界面和丰富的元件库,方便用户进行电路设计与仿真。在本次任务中,我们将利用Multisim软件进行他励直流电动机调速的仿真设计。 四、他励直流电动机调速Multisim仿真设计步骤 4.1 创建电路图 首先,我们需要在Multisim中创建一个新的电路图。在电路图中,我们需要添加 电动机、电源和控制电路等元件。 4.2 连接电路 在创建电路图后,我们需要根据他励直流电动机的调速原理,将电动机、电源和控制电路等元件进行连接。确保电路连接正确,以确保仿真结果的准确性。 4.3 设置电动机参数 在进行仿真之前,我们需要设置电动机的参数,包括电动机的额定电压、额定转速等参数。这些参数将影响电动机的仿真结果。 4.4 添加控制电路 为了实现对电动机的调速,我们需要添加一个控制电路。控制电路可以根据输入的控制信号,调节励磁电流的大小,从而实现对电动机转速的调节。在控制电路中,我们可以使用电阻、电容、晶体管等元件来实现。

基于Multisim 10的励磁电流采样回路仿真与分析

基于Multisim 10的励磁电流采样回路仿真与分析 作者:沈继东孙新志史旭龙叶添锌 来源:《现代电子技术》2015年第14期 摘要:励磁电流是同步发电机励磁系统的重要参数,其采样的准确与否直接影响着励磁系统的正常运行。针对励磁设备在现场调试及运行过程中出现的由于励磁变副边CT接线错误而导致的励磁电流采样错误的现象,通过Multisim 10软件的仿真功能,对励磁电流采样回路进行了仿真分析。给出励磁变副边电流互感器各种不同接线情况下励磁电流采样的电压值,为现场调试及维护人员快速做出故障判断提供了重要的参考依据。 关键词: Multisim 10;励磁电流采样;仿真分析;故障判断 中图分类号: TN702.2⁃34 文献标识码: A 文章编号: 1004⁃373X(2015)14⁃0096⁃02 0 引言 Multisim10是美国NI(National Instruments)公司开发的EWB(Electron⁃ics Workbench EDA)仿真软件,该软件基于PC平台,采用图形操作界面虚拟仿真了一个与实际情况非常相似的电子电路实验工作台,它几乎可以完成在实验室进行的所有的电子电路实验,已被广泛地应用于电子电路分析、设计、仿真等项工作中,是目前世界上最为流行的EDA软件之一。它不需要真实电路环境的介入,具有仿真速度快、精度高、准确及形象等优点。通过对实际电子电路的仿真分析,对于缩短设计周期、节省设计费用和提高设计质量有重要意义[1⁃2]。 励磁电流是励磁系统的重要参数,主要作用包括:励磁电流显示、励磁调流调节器反馈量、过励限制器输入参数、强励功能限制参数、过励保护参数。此外还用做失磁保护判据参数。可见,励磁电流是励磁系统中的重要参数,其采样的准确性会直接影响到励磁调节器的调节、限制及保护功能的正常工作[3⁃4]。 利用Multisim 10软件对励磁系统的励磁电流采样回路进行了仿真,仿真分析结果与实际测试值一致。 1 励磁系统励磁电流采样回路设计 UNITROL5000励磁系统的励磁电流采样原理图[5]如图1所示。 图1 励磁电流采样回路

基于Multisim的PWM直流电机调速控制电路设计与仿真 精品

基于Multisim 的PWM 直流电机调速控制电路设计与仿真 李容,谢东,李俊凡,唐俊斌,何佳盈 重庆科技学院,电气与信息工程学院,重庆,400050 摘要:以Multisim 仿真软件为平台设计PWM 直流电机调速控制电路,对电机驱动电路和脉宽控制电路的设计原理及构成方法作了详细的介绍。使用Multisim 仿真软件的虚拟示波器、逻辑分析仪等虚拟元件,完成电路的设计与仿真。 关键词:Multisim PWM 直流电动机 电机驱动 脉宽控制 Design and Simulation of PWM DC Motor Speed Based on Multisim Abstract: The paper presents a PWM DC motor speed control circuit based on Multisim simulation software. The circuit principle and its composition for the motor drive and the pulse width control are introduced detailedly. Using Multisim simulation software of virtual oscilloscope, logic analyzer and some virtual element, the circuit design and simulation has been completed. Keywords: Multisim PWM dc motor driving pulse width control 1 引言 电子设计自动化(EDA)技术是电子设计领域的一场革命,它改变了以变量估算和电路实验为基础的电路设计方法。Multisim 是一个专门用于电子线路仿真与设计的EDA 工具软件, 内台有数万种元器件和l3种常用的虚拟仪嚣仪表,能完成从电路的仿真设计到电路版图生成的全过程。并且它不需要真实电路环境的介入,通过对电路的各种参数的调整,将整个实验过程在虚拟实验室进行,具有仿真速度快、精度高、准确及形象等优点。从而为电子系统的设计、电子产品的开发和电子系统工程提供了一种全新的手段和便捷途径。下面以PWM 直流电机调速控制电路的设计为例,介绍基于Multisim 的PWM 直流电机调速控制电路设计与仿真[1]。 2基于PWM 直流电动机调速控制系统的设计 2.1设计要求 (1)使用Multisim 仿真软件的虚拟示波器、逻辑分析仪等虚拟元件,完成电路的设计与仿真。 (2)通过调整PWM 的占空比和频率,控制电机的电枢电压,进而控制转速。 (3)逻辑门电路设计,实现电机的正反转控制。 2.2结构框图 图(1)PWM 直流电动机调速控制系统总框图 PWM 波形 产生电 路 H 桥 驱 动 电 路 直 流电 动机

基于Multisim电子仿真软件的电路设计与研究

基于Multisim电子仿真软件的电路设计与研究 黄荷英 【摘要】Application of simulation system for measuring and verifying the electronic technologies can reform traditional design pattern, improve experiment efficiency, inspire and broaden developers' idea. The function and features of the software Multisim are introduced. In combination with the instances of electronic circuit. the concrete application of the software Multisim in design, simulation and analysis is described. The analysis demonstrates that the application of Multisim is favourable to innovation of the teaching contents and standards, and to cultivation of students' autonomous learning ability and the joy of learning. It also provs that the software Multisim is powerful in electronic circuit simulation.%应用仿真系统对电子技术方面进行测量和验证,可以改革传统设计模式,提高实验效率,启发和拓宽开发者的思路.在此通过介绍Multisim软件的功能、特点,并结合电子电路实例叙述其设计、仿真与分析的具体运用.通过分析证明其有利于创新课程教学内容与标准,有利于充分激发和培养学生自主学习能力及学习的乐趣,同时也说明Multisim 是一种功能强大的电子电路仿真软件. 【期刊名称】《现代电子技术》 【年(卷),期】2012(035)016 【总页数】4页(P33-36) 【关键词】电子设计自动化;电路设计;电子仿真;Multisim软件

基于Multisim的电源设计实验报告

课程名称: 大学物理实验(一) 实验名称: 电源设计 二、实验原理 1.直流稳压电源的组成 图1 直流稳压电源的组成示意图 i. 电源变压器: 将交流电网电压u 1变为合适的交流电压u 2。 ii. 整流电路: 将交流电压u 2变为脉动的直流电压u 3。 iii. 滤波电路: 将脉动直流电压u 3转变为平滑的直流电压u 4。 iv. 稳压电路: 清除电网波动及负载变化的影响,保持输出电压u o 的稳定。 2.整流电路 作用:把交流电压转变为直流脉动的电压 分类: {单相三相 {半波全波 {桥式倍压整流 {二极管可控硅 2.1单相半波整流电路

图2 单相半波整流电路电路图图3 单相半波整流电路波形图 由图2可知,输出电压在一个工频周期内,只是正半周导电,在负载上得到的是半个正弦波。负载上输出平均电压为 V0=V L=1 2π∫√2 π V2sinωt d(ωt)=√2 π V2=0.45V2 (1) 流过负载和二极管的平均电流为 I D=I L=√2V2 πR L =0.45V2 R L (2) 二极管所承受的最大反向电压为 V Rmax=√2V2 (3) 2.2单相桥式整流电路 图4 单相桥式整流电路电路图图5 单相桥式整流电路波形图输出电压是单相脉动电压。通常用它的平均值与直流电压等效。输出平均电压为 V0=V L=1 π∫√2 π V2sinωt d(ωt)=2√2 π V2=0.9V2 (4) 流过负载的平均电流为

I L=2√2V2 πR L =0.9V2 R L (5) 流过二极管的平均电流为 I D=I L 2=√2V2 πR L =0.45V2 R L (6) 二极管所承受的最大反向电压为 V Rmax=√2V2 (7) 单相桥式整流电路的效率较高,总体性能优于单相半波和全波整流电路,故广泛应用于直流电源之中。3.滤波电路 图6 滤波电路作用示意图 滤波电路的结构特点: 电容与负载R L 并联,或电感与负载R L串联。并且电容滤波适用于小电流,电流越小滤波效果越好;电感滤波,适用于大电流,电流越大滤波效果越好。 3.1电容滤波 现以单相桥式电容滤波整流电路为例来说明。电容滤波电路如图所示,在负载电阻上并联了一个滤波电容C。 图7 电容滤波电路电路图图8 电容滤波电路波形图 图9 电容滤波电路滤波原理示意图 u2上升, u2大于电容上的电压u c,u2对电容充电,u o= u c≈ u2 u2下降, u2小于电容上的电压。二极管承受反向电压而截止。电容C通过R L放电, u c按指数规律下降,时间常数τ = R L C

multisim对字节发电机编程

multisim对字节发电机编程 Multisim软件是一款电路仿真软件,它的主要功能是对电路进行仿真分析。如果您想编写字节发电机程序,那么可以通过以下步骤来实现: 1. 打开Multisim软件并创建一个新的电路文件。 2. 添加一个8位二进制计数器和一个8位异或门。计数器用于生成0-255的随机数,异或门用于将随机数转化为二进制输出。 3. 使用VHDL语言编写一个字节发生器程序。下面是一个示例代码: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity byte_generator is Port ( clk : in STD_LOGIC; enable : in STD_LOGIC; data : out STD_LOGIC_VECTOR (7 downto 0)); end byte_generator; architecture Behavioral of byte_generator is signal counter : unsigned(7 downto 0) := (others => '0'); begin process(clk) begin if rising_edge(clk) then if enable = '1' then counter <= counter + 1; end if; end if; end process; data <= std_logic_vector(counter xor x"AA"); end Behavioral; 4. 在Multisim中添加一个VHDL模块。将上面编写的代码复制并粘贴到VHDL模块中。 5. 使用Multisim软件模拟电路。将VHDL模块与计数器和异或门连接在一起。 6. 运行仿真并查看输出结果。 需要注意的是,此代码仅供参考。您可以根据您的具体需求进行修改。同时,编写VHDL 代码需要有一定的编程基础,如果不了解VHDL语言,建议先学习相关知识。

相关主题
文本预览
相关文档 最新文档