当前位置:文档之家› 集成触发器功能测试及转换

集成触发器功能测试及转换

集成触发器功能测试及转换
集成触发器功能测试及转换

深圳大学实验报告

课程名称:数字电路与逻辑设计

实验项目名称: 集成触发器功能测试及转换学院:专业、班级:

指导教师:

报告人:学号:

实验报告提交时间:2014-12-18

教务处制

、实验目的与要求

1 .悉并掌握 RS 、D 、 JK 、T 触发器的构成、工作原理和功能测试方法;

2 . 掌握不同逻辑功能触发器的相互转换; 3. 掌握三态触发器和锁存器的功能及使用方法; 4. 学会触发器、三态触发器、锁存器的应用。

(1)复习各种触发器的工作原理、逻辑功能及不同结构形式触发器的触发方式、 工作特性;

( 2)熟悉集成 D 触发器、 JK 触发器、三态输出 RS 触发器、 D 锁存器的引脚排列 及功能;

(3)复习各种触发器之间的功能转换方法。

三、实验说明

触发器是具有记忆作用的基本单元, 在时序电路中时必不可少的。 触发器具有两个基本 性质: ( 1)在一定条件下,触发器可以维持在两种稳定状态上( 0 或 1 状态之一保持不变) ;

( 2)在一定的外加信号作用下,触发器可以从一种状态转变成另一种稳定状态(

0-1

或 1-0),也就是说,触发器可记忆二进制的

0或 1,故被用作二进制的存储单元。

触发器可以根据有无时钟脉冲分为两大类: 基本触发器和钟控触发器。 从触发器次态和现态以及输入信号之间的关系上,可以将钟控触发器分为 发器、 D 触发器、

JK 触发器、 T 触发器等几种类型。当 CP 有效时,

RS 触发器的特性方程是: Q n+1=S+RQ n (约束条件: SR=0) D 触发器的特性方程是: Q n+1=D

JK 触发器的特性方程是: Q n+1 =JQ n +KQ n T 触发器的特性方程是: Q n+1=TQ n +TQ n

T '触发器的特性方程是: Q n+1=Q n 钟控触发器若按触发器方式,可分为电平触发

(高电平触发、低电平触发)

上升沿触发、下降沿触发)和主从触发三种。电平触发:在时钟脉冲 间,触发器接受控制输入信号,从而改变其状态。电平触发方式的根本缺陷是空翻问题。边

沿触发:仅在时钟 CP 的下降沿( 1-0变化边沿)或上升边沿( 0-1 变化边沿)触发器才能接 受控制输入信号,从而改变状态。主从触发:在时钟脉冲 CP 高电平期间,主触发器接受控 制输入信号,时钟脉冲 CP 下降沿时刻从触发器可以改变状态——变为主触发器的状态。

四、实验设备

1. 双踪示波器;

2.RXB-1B 数字电路实验箱;

3.74LS74 (双上升沿 D 触发器)、74LS76(霜下降沿 JK 触发器)、 74LS86(四 2 输入异 或门)。

五、实验步骤

任务一 维持-阻塞型 D 触发器的功能测试

预习要求

从逻辑功能, 即

RS 触

、边沿触发

CP 高(低)电平期

74LS74 的引脚排列图如图 1 所示。图中, S D 、 R D 端分别为异步置 1端、置 0 端(或称 异步置位、复位端) ,CP 为时钟脉冲端。

试按下面步骤做实验:

(1)分别在 S D 、R D 端加低电平,观察并记录 Q 、Q 端的状态。当 S D 、R D 端同时加低 电平时,输出将为高电平,当时此时如果 S D 、R D 端再同时加高电平,对应的输出状态是不

确定的。

(2)令 S D 、R D 端为高电平, D 端分别接入高、低电平,同时用手动脉冲作为 CP ,然

后观察并记录当 CP 为 0-1时 Q 端状态。

(3)当 S D =R D =1、CP=0(或 CP=1)时,改变 D 端信号,然后观察 Q 端的状态是否变 化。整理上述实验数据,并将结果填入表 1 中。

(4)令 S D =R D =1,将 D 和 Q 端相连, CP 加入 1kHz 连续脉冲,然后用双踪示波器观 察并记录

Q 相对于 CP 的波形。

表 触发器 功能表

V CC 2R D 2D

2CP 2S D 2Q 2Q

图 芯片的引脚排列图

任务二 下降沿 J-K 触发器功能测试

74LS76 芯片的引脚排列图如图 表 4-6 中。

图 4-20 74LS76 芯片的引脚排列图

令 J=K=1 ,且在 CP 端加入 1kHz 连续脉冲,然后用双踪示波器观察 Q — CP 波形,并与 D 触发器

D 和 Q 端相连时观察到的 Q 端的波形相比较,看看有何异同点?

设计实验步骤:

(1)分别在 S D 、 R D 端加低电平,观察并记录 Q 、Q 端的状态。

(2)令 S D 、R D 端为高电平,令 Q 端的初态为 0,J 端分别接入高、低电平,同时用手 动脉冲作为

CP ,然后观察并记录当 CP 为 1-0时 Q 端状态。

令 S D 、R D 端为高电平,令 Q 端的初态为 1,K 端分别接入高、低电平,同时用手动脉 冲作为 CP ,然后观察并记录当 CP 为 1-0 时 Q 端状态。整理上述实验数据,并将结果填入 表 2 中。

任务三 触发器功能转换

( 1)分别将 D 触发器和 J-K 触发器转换成 T 触发器,并列出表达式, 画出实验接线图;

(2)接入 1kHz 连续脉冲,观察各触发器 CP 及 Q 端波形,并比较两者的关系; 自拟实验数据表并填写之。 实验设计:

T 触发器的特性方程为: Q n+1=TQ n +TQ n

D 触发器的特性方程是: Q n+1=D 由此可得: D=TQ n +TQ n =T ⊕Q n JK 触发器的特性方程是: Q n+1=JQ n +KQ n 由此可得: J=T , K=T

4-20 所示。自拟实验步骤,测试其功能,并将结果填入 S D R D CP J K

Q n Q n+1

0 1

X

X X X

1 0

X

X

X X

1 1

0 X

1 1

1

X

1

1

X

1

1

1

X

1 1

表 4-6 双 J-K 下降沿触发器 74LS76 功能表

1、将D 触发器转换成T 触发器设计实验接线图:设计实验步骤:

(1)分别在S D、R D端加低电平,观察并记录Q、Q 端的状态。

(2)令S D、R D端为高电平,T 端分别接入高、低电平,同时用手动脉冲作为CP,然后观察并记录当CP为0-1时Q端状态,并将结果填入表中。

(3)令S D=R D=1,T=1,CP加入1Hz 连续脉冲,观察并记录与Q 端相连的电平指示灯的状况。

1R D V CC

+5V

+5V

2、将 J-K 触发器转换成 T 触发器: 设计实验步骤:

(1)分别在 S D 、 R D 端加低电平,观察并记录 Q 、Q 端的状态。

(2)令 S D 、R D 端为高电平, T 端分别接入高、低电平,同时用手动脉冲作为 CP ,然

后观察并记录当 CP 为 1-0时 Q 端状态,并将结果填入表中。

(3)令 S D =R D =1,T=1 ,CP 加入1Hz 连续脉冲,观察并记录与 Q 端相连的电平指示灯的状 况。

设计实验接线图:

五、实验数据和表格

任务一:

S D R D CP D Q n Q n+1

1

X

X 0 1

1 1 1

X

X

0 0

1 0 1

1

0 0

1

0 1

1

1

0 1

1

1

表 触发器 功能表

1CP

1K

CP 1S D

1R D 1J V CC +5V

2CP

2S D

2R D

1

16

2

15

3 74LS76

14

4

13 5

12 6

11 11

7

10

8

9

1Q 1Q

GND 2Q 2Q

2J 2K

任务二:

表任务二记录数据表

表将触发器转换成触发器

表将触发器转换成触发器六、实验结论与分析

(1)74LS74 芯片的逻辑功能符合表达式:

Q n+1=D,CP↑

Q n+1=Q n,其他情况

集成触发器及其应用电路设计

华中科技大学 电子线路设计、测试与实验》实验报告 实验名称:集成运算放大器的基本应用 院(系):自动化学院 地点:南一楼东306 实验成绩: 指导教师:汪小燕 2014 年6 月7 日

、实验目的 1)了解触发器的逻辑功能及相互转换的方法。 2)掌握集成JK 触发器逻辑功能的测试方法。 3)学习用JK 触发器构成简单时序逻辑电路的方法。 4)熟悉用双踪示波器测量多个波形的方法。 (5)学习用Verliog HDL描述简单时序逻辑电路的方法,以及EDA技术 、实验元器件及条件 双JK 触发器CC4027 2 片; 四2 输入与非门CC4011 2 片; 三3 输入与非门CC4023 1 片; 计算机、MAX+PLUSII 10.2集成开发环境、可编程器件实验板及专用电缆 三、预习要求 (1)复习触发器的基本类型及其逻辑功能。 (2)掌握D触发器和JK触发器的真值表及JK触发器转化成D触发器、T触发器、T 触发器的基本方法。 (3)按硬件电路实验内容(4)(5),分别设计同步3 分频电路和同步模4 可逆计数器电路。 四、硬件电路实验内容 (1)验证JK触发器的逻辑功能。 (2)将JK触发器转换成T触发器和D触发器,并验证其功能。 (3)将两个JK触发器连接起来,即第二个JK触发器的J、K端连接在一起, 接到第一个JK触发器的输出端Q两个JK触发器的时钟端CP接在一起,并输入1kHz 正方波,用示波器分别观察和记录CP Q、Q的波形(注意它们之间的时序关系),理解2分频、4分频的概念。 (4)根据给定的器件,设计一个同步3分频电路,其输出波形如图所示。然后组装电路,并用示波器观察和记录CP Q、Q的波形。 (5)根据给定器件,设计一个可逆的同步模4 计数器,其框图如图所示。图中,M为控制变量,当M=0时,进行递增计数,当M=1时,进行递减计数;Q、 Q为计数器的状态输出,Z为进位或借位信号。然后组装电路,并测试电路的输入、输出

触发器逻辑功能测试及应用

实验六触发器逻辑功能测试及应用 一、实验目的: 1、掌握基本RS、JK、D、T与T′触发器的逻辑功能; 2、学会验证集成触发器的逻辑功能及使用方法; 3、熟悉触发器之间相互转换的方法。 二、实验原理: 触发器:根据触发器的逻辑功能的不同,又可分为: 三、实验仪器与器件: 实验仪器设备:D2H+型数字电路实验箱。 集成块:74LS112 74LS74 74LS04 74LS08 74LS02 74LS86 四、实验内容与步骤: 1、基本RS触发器逻辑功能的测试: CP J K S-D R-D 下降沿0 0 1 1 0 0 下降沿0 1 1 1 0 0 下降沿 1 0 1 1 0 1 下降沿 1 1 1 1 1 0 3、D触发器逻辑功能测试: D CP S-D R-D Q X X 0 1 0 X X 1 0 1 (2)D触发器逻辑功能测试: CP J K D S D R Q ×××0 1 0 ××× 1 0 1

D CP S-D R-D 0 上升沿 1 1 1 0 1 上升沿 1 1 0 1 4、不同类型时钟触发器间的转换: JK转换为D触发器: J D K D Q D DQ Q Q D D Q Q K Q J Q n n n n n n n n = = + = + = = + = + + ; ) ( 1 1 D转换为JK 触发器: n n n n n n Q J Q K D D Q Q K Q J Q = = = + = + + 1 1 JK转换为T触发器: K J T Q T Q T Q n n n = = + = +1 T转换为JK触发器: JK转换为RS触发器:RS转换为JK触发器: 五、实验体会与要求: 1、根据实验结果,写出各个触发器的真值表。 2、试比较各个触发器有何不同? 3、写出不同类型时钟触发器间的转换过程。 1

触发器的功能测试及应用 建筑电气

实验七 触发器的功能测试及应用 一、实验目的 (1)通过实验验证J —K 触发器和D 触发器的逻辑功能,从而加深对触发器工作原理的理解; (2)掌握用触发器组成二进制加、减法计数器的方法。 二、预习要求 (1)复习J —K 触发器和D 触发器的工作原理; (2)熟悉CT74LS112双J —K 触发器和CT74LS74双D 触发器的逻辑功能、逻辑符号和外引线排列; (3)认清触发器的功能表,掌握上升沿和下降沿触发有什么不同; (4)复习用触发器组成异步二进制加减计数器的工作原理。 三、实验原理及参考电路 触发器是具有记忆功能的基本逻辑单元,其种类很多,本实验采用逻辑功能较全、用途 和置0端D R 都为低电平有效,且与CP 端状态无关,触发器处于工作状态时,D S 和D R 必须都接高电平。JK 触发器利用CP 的下降沿触发,D 触发器利用CP 的上升沿触发。

四、实验内容和步骤 1.验证JK 触发器的逻辑功能 将CT74LS112集成块插入实验箱的集成电路底座上,认清有关插线柱和电路外引线的对应关系。 将双JK 触发器中一个触发器的D S 、D R 、J 、K 输入端分别接实验箱的逻辑开关,CP 端接单次脉冲,Q 、Q 接发光二极管。检查无误后接通5V 直流电源,并按表2-7-1逐项验证JK 触发器的功能。 2.验证D 触发器的逻辑功能 将CT74LS74集成块插入实验箱的集成电路底座上,将其中一个触发器的D S 、D R 、D 输入端分别接实验箱的逻辑开关,CP 端接单次脉冲,Q 、Q 接发光二极管。检查无误后接通5V 直流电源,并按表2-7-2逐项验证D 触发器的功能。

数电实验触发器及其应用

数电实验触发器及其应用 数字电子技术实验报告 实验三: 触发器及其应用 一、实验目的: 1、熟悉基本RS触发器,D触发器的功能测试。 2、了解触发器的两种触发方式(脉冲电平触发和脉冲边沿触发)及触发特点 3、熟悉触发器的实际应用。 二、实验设备: 1 、数字电路实验箱; 2、数字双综示波器; 3、指示灯; 4、74LS00、74LS74。 三、实验原理: 1、触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序 电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即“0”和“ 1 ”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。触发器有集成触发器和门电路(主要是“与非门” )组成的触发器。 按其功能可分为有RS触发器、JK触发器、D触发器、T功能等触发器。触发方式有电平触发和边沿触发两种。 2、基本RS触发器是最基本的触发器,可由两个与非门交叉耦合构成。 基本RS触发器具有置“ 0”、置“ 1”和“保持”三种功能。基本RS触发器

也可以用二个“或非门”组成,此时为高电平触发有效。 3、D触发器在CP的前沿发生翻转,触发器的次态取决于CP脉冲上升沿n+1来到之前D端的状态,即Q = D。因此,它具有置“ 0”和“T两种功能。由于在CP=1期间电路具有阻塞作用,在CP=1期间,D端数据结构变RS化,不会影响触发器的输出状态。和分别是置“ 0”端和置“ 1” DD 端,不需要强迫置“ 0”和置“ 1”时,都应是高电平。74LS74(CC4013, 74LS74(CC4042均为上升沿触发器。以下为74LS74的引脚图和逻辑图。 馬LD 1CP 1云IQ LQ GM) 四、实验原理图和实验结果: 设计实验: 1、一个水塔液位显示控制示意图,虚线表示水位。传感器A、B被水浸沿时

集成触发器功能测试及转换

深圳大学实验报告 课程名称:数字电路与逻辑设计 实验项目名称:集成触发器功能测试及转换 学院: 专业、班级: 指导教师: 报告人:学号: 实验报告提交时间: 2014-12-18 教务处制

一、实验目的与要求 1.悉并掌握RS、D、JK、T触发器的构成、工作原理和功能测试方法; 2.掌握不同逻辑功能触发器的相互转换; 3. 掌握三态触发器和锁存器的功能及使用方法; 4. 学会触发器、三态触发器、锁存器的应用。 二、预习要求 (1)复习各种触发器的工作原理、逻辑功能及不同结构形式触发器的触发方式、工作特性; (2)熟悉集成D触发器、JK触发器、三态输出RS触发器、D锁存器的引脚排列及功能; (3)复习各种触发器之间的功能转换方法。 三、实验说明 触发器是具有记忆作用的基本单元,在时序电路中时必不可少的。触发器具有两个基本性质: (1)在一定条件下,触发器可以维持在两种稳定状态上(0或1状态之一保持不变); (2)在一定的外加信号作用下,触发器可以从一种状态转变成另一种稳定状态(0-1或1-0),也就是说,触发器可记忆二进制的0或1,故被用作二进制的存储单元。 触发器可以根据有无时钟脉冲分为两大类:基本触发器和钟控触发器。从逻辑功能,即从触发器次态和现态以及输入信号之间的关系上,可以将钟控触发器分为RS触 发器、D触发器、JK触发器、T触发器等几种类型。当CP有效时, RS触发器的特性方程是:Q n+1=S+RQ n(约束条件:SR=0) D触发器的特性方程是:Q n+1=D JK触发器的特性方程是:Q n+1n n T触发器的特性方程是:Q n+1n n T’触发器的特性方程是:Q n+1=Q n 钟控触发器若按触发器方式,可分为电平触发(高电平触发、低电平触发)、边沿触发(上升沿触发、下降沿触发)和主从触发三种。电平触发:在时钟脉冲CP高(低)电平期间,触发器接受控制输入信号,从而改变其状态。电平触发方式的根本缺陷是空翻问题。边沿触发:仅在时钟CP的下降沿(1-0变化边沿)或上升边沿(0-1变化边沿)触发器才能接受控制输入信号,从而改变状态。主从触发:在时钟脉冲CP高电平期间,主触发器接受控制输入信号,时钟脉冲CP下降沿时刻从触发器可以改变状态——变为主触发器的状态。 四、实验设备 1.双踪示波器; 2.RXB-1B数字电路实验箱; 3.74LS74(双上升沿D触发器)、74LS76(霜下降沿JK触发器)、74LS86(四2输入异或门)。

触发器及其应用实验报告 - 图文-

实验报告 一、实验目的和任务 1. 掌握基本RS、JK、T和D触发器的逻辑功能。 2. 掌握集成触发器的功能和使用方法。 3. 熟悉触发器之间相互转换的方法。 二、实验原理介绍 触发器是能够存储1位二进制码的逻辑电路,它有两个互补输出端,其输出状态不仅与输入有关,而且还与原先的输出状态有关。触发器有两个稳定状态,用以表示逻辑状态"1"和"0飞在二定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图14-1为由两个与非门交叉祸合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。 基本RS触发器具有置"0"、置"1"和保持三种功能。通常称s为置"1"端,因为 s=0时触发器被置"1"; R为置"0"端,因为R=0时触发器被置"0"。当S=R=1时状态保持,当S=R=0时为不定状态,应当避免这种状态。

基本RS触发器也可以用两个"或非门"组成,此时为高电平有效。 S Q S Q Q 卫R Q (a(b 图14-1 二与非门组成的基本RS触发器 (a逻辑图(b逻辑符号 基本RS触发器的逻辑符号见图14-1(b,二输入端的边框外侧都画有小圆圈,这是因为置1与置。都是低电平有效。 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚逻辑图如图14-2所示;JK触发器的状态方程为: Q,,+1=J Q"+K Q 3 5

J Q CLK K B Q 图14-2JK触发器的引脚逻辑图 其中,J和IK是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成"与"的关系。Q和Q为两个互补输入端。通常把Q=O、Q=1的状态定为触发器"0"状态;而把Q=l,Q=0 定为"}"状态。 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 CC4027是CMOS双JK触发器,其功能与74LS112相同,但采用上升沿触发,R、S端为高电平

数字电路 触发器的功能测试实验报告

肇 庆 学 院 电子信息与机电工程 学院 数字电路 课 实验报告 12电气(1) 班 姓名 李俊杰 学号 201224122119 实验日期2014年5 月19 日 实验合作者:王圆圆 老师评定 实验题目:触发器的功能测试 一、实验目的 (一)掌握基本RS 触发器的功能测试。 (二)掌握集成触发器的电路组成形式及其功能。 (三)熟悉时钟触发器不同逻辑功能之间的相互转换。 (四)认识触发器构成的脉冲分频电路。 二、实验仪器: DZX-1型电子学综合实验装置 UT52万用表 GDS-806S 双踪示波器 74LS00 74LS74 74LS76 三、实验内容&数据分析 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一种具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。第一步,将触发器74LS74、74LS76引出端排列图和状态表画在实验报告上。(注:此项内容必须在进实验室前完成。) (一)测试基本RS 触发器的逻辑功能 用两个与非门组成基本RS 触发器如图4-1,输入端R ,S 接逻辑电平开关输出插口,输出端Q 、Q 接逻辑电平显示插口,按表4-1要求测试。 表4-1 基本RS 触发器特性表(输入低电平有效) 图4-1 由74ls00连接成的基本RS 触发器 测试集成双JK 触发器74LS76的逻辑功能 1、测试D R 、 D S 端的复位、置位功能

74LS76逻辑符号如图4-2,对照其插脚(查阅附录B )取其中一JK 触发器,D R 、 D S 、J 、K 端分别接逻辑电平开关输出插口,CP 接单次脉冲源(正脉冲),Q 、Q 接至逻辑电平显示输入插口。要求在D R =0, D S =1以及 D S =0,D R =1时任意改变J 、K 及CP 的状态用“ⅹ”符 号表示,观测Q 、Q 状态。 图4-2 74LS76管脚排列 2、测试触发器的逻辑功能 按表4-2的要求改变J 、K 、CP 端状态,记录Q 的状态变化,观察触发器状态的更新发生在CP 脉冲(单脉冲)的上降沿还是下降沿?(注意D R 、D S 端的电平接法) 表4-3 集成双JK 触发器74LS76特性表2 图4-2 JK 触发器逻辑符号 3、JK 触发器的J 、K 端连在一起,构成T ’触发器。 在CP 端输入1MHZ 连续脉冲,用双踪示波器观察CP 、Q 端的波形,注意相位与时间的关系。

触发器之间的功能转换

触发器之间的功能转换(考过)(填空)一、转换的目的: 触发器的逻辑功能和电路结构无对应关系。同一功能的触发器可用不同结构实现;同一结构触发器可做成不同的逻辑功能。 二、触发器之间转换的方法: 1、写特征方程 写出已有触发器和待求触发器的特征方程。 2、变换特征方程 变换待求触发器的特征方程,使之形式与已有触发器的特征方程一致。 3、比较系数 根据方程式,如果变量相同、系数相等则方程一定相等的原则,比较已有和待求触发器的特征方程,求出转换逻辑。4、画逻辑图 根据转换逻辑画出逻辑图。 注意: (1)现有触发器的特征方程不能变换。 (2)关键是变换待求触发器的特性方程; (3)难点是解决已有触发器的输入端的接法.

三、注意: 1、触发器之间的转换方法也可适合任何两种逻辑功能触发器之间的相互转换。 2、掌握好触发器之间的转换方法,可使逻辑电路不受触发器类型的控制,能更好的应用自如的设计出更简单的逻辑功能电路。 四、举例 1.D 触发器转换成JK 触发器 (1) 写特征方程 D 触发器的特征方程: D Q n =+1 JK 触发器的特征方程:n n 1n Q K Q J Q +=+ (2) 变换特征方程 变换JK 触发器的特征方程,使之形式与已有D 触发器的特征方程一致。 D Q K Q J Q =+=+n n 1n (3)比较系数,求出转换逻辑 将两个触发器的特征方程进行比较,可见,使D 触发器 的输入为n n n n Q K Q J Q K Q J D =+=,则D 触发器实现JK 触发 器的功能。 (4)画逻辑图 将D 触发器的输入信号用转换逻辑连接实现JK 触发器的功

基本触发器功能验证实验

基本触发器功能验证实验预习参考 (注意:所有表格均可用状态方程提前填好) 1、 R S 触发器 图1-5-1基本RS 触发器的原理图,公式(1-5-1)是RS 触发器的状态方程。 n n n n RQ Q Q S Q ==++1 1 (1-5-1 ) 图1-3-3基本RS 触发器 表1-5-1 R S Q (V ) Q (V ) 触发器状态 0 1 1 0 1 1 0 0 1 1

图1-3-4基本RS 触发器实验连线图 2、D 触发器 图1-5-2基本RS 触发器的原理图,公式(1-5-2)是D 触发器的状态方程。 D Q n =+1 (1-4-2) (CP 上升沿有效) 图1-3-5 D 触发器IC 引脚图 表1-5-2 测试D 触发器置位、复位功能 CP D D R D S 1+n Q (V ) 1+n Q (V ) Q 状态 ф ф 1 ф ф 1 0

表1-5-3 D触发器同步功能测试 Qn 0 0 1 1 D 0 1 0 1 CP 0 ?0 ?0 ?0 ?Qn+1 图1-3-6 D触发器实验测试图

图1-3-7 D触发器实验测试图 3、JK触发器 图1-5-3JK触发器的原理图,公式(1-4-3)是JK触发器的状态方程。 +1(1-5-3) n Q n n = Q+ Q K J (CP下降沿有效) 图1-3-8 JK触发器的原理图

表1-5-4测试JK触发器逻辑功能 CP ? ? ? ?J 0 0 0 0 1 1 1 1 K 0 0 1 1 0 0 1 1 Qn 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Qn+1 图1-3-9 JK触发器原理测试图

触发器实验报告

触发器实验报告 集团标准化工作小组 #Q8QGGQT-GX8G08Q8-GNQGJ8-MHHGN#

实验报告 课程名称:数字电子技术基础实验 指导老师: 周箭 成绩:__________________ 实验名称:集成触发器应用 实验类型: 同组学生姓名:__邓江毅_____ 一、实验目的和要求(必填) 二、实验内容和原 理(必填) 三、主要仪器设备(必填) 四、操作方法和实 验步骤 五、实验数据记录和处理 六、实验结果与分 析(必填) 七、讨论、心得 实验内容和原理 1、D →J-K 的转换实验 设计过程:J-K 触发器和D 触发器的次态方程如下: J-K 触发器:n n 1+n Q Q J =Q K +, D 触发器:Qn+1=D 若将D 触发器转换为J-K 触发器,则有:n n Q Q J =D K +。 实验结果: J K Qn-1 Qn 功能 0 0 0 0 保持 1 1 0 1 0 0 置0 1 0 1 1 0 1 翻转 1 0 1 0 1 置1 1 1 实验截图: 专业:电卓1501 姓名:卢倚平 学号: 日期:地点:东三404

(上:Qn ,下:CP ,J 为高电平时) 2、D 触发器转换为T ’触发器实验 设计过程:D 触发器和T ’触发器的次态方程如下: D 触发器:Q n+1= D , T ’触发器:Q n+1=!Q n 若将D 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:D=!Qn 。 实验截图: (上:Qn ,下:!Qn )CP 为1024Hz 的脉冲。 3、J-K →D 的转换实验。 ①设计过程: J-K 触发器:n n 1+n Q Q J =Q K , D 触发器:Qn+1=D 若将J-K 触发器转换为D 触发器,则二者的次态方程须相等,因此有:J=D ,K=!D 。 实验截图:

D触发器及其应用实验报告

实验五D触发器及其应用 实验人员:班号:学号: 一、实验目的 1、熟悉D触发器的逻辑功能; 2、掌握用D触发器构成分频器的方法; 3、掌握简单时序逻辑电路的设计 二、实验设备 74LS00 ,74LS74,数字电路实验箱,数字双踪示波器,函数信号发生器 三、实验内容 1、用74LS74(1片)构成二分频器、四分频器,并用示波器观察波形; 74LS74是双D触发器(上升沿触发的边沿D触发器),其管脚图如下: 其功能表如下: ○1构成二分频器:用一片74LS74即可构成二分频器。实验电路图如下:

○2构成四分频器:需要用到两片74LS74。实验电路图如下: 2、实现如图所示时序脉冲(用74LS74和74LS00各1片来实现) 将欲实现功能列出真值表如下:

Q 1n+1=Q 0n =D 1 Q 0n+1=Q 1n ????=D 0 F ′=Q 1n Q 0n ???? F =F ′?CP 连接电路图如下: 四、实验结果 1、用74LS74(1片)构成二分频器、四分频器。示波器显示波形如下: ○ 1二分频器: ○ 2四分频器:

2、实现时序脉冲。示波器显示波形如下: 五、故障排除 在做“用74LS74(1片)构成二分频器、四分频器”时,连接上示波器后,发现通道二总显示的是类似于电容放电的波形,但表现出了二分频。反复排查问题均没有发现原因。最后换了一根连接示波器的线,便得到了理想的结果。 在示波器使用时想要用U盘保存电路波形,不会操作。后来在询问了同学之后才知道只需要按“print”就好。 六、心得体会 通过此次实验,我更深入地领悟了触发器的原理和用法,还复习了示波器的用法,还学会了如何保存示波器波形。

基本RS触发器逻辑功能测试

实训九基本R-S触发器功能测试 一、实训目的 1.通过实训熟悉基本RS触发器的逻辑功能与特点; 2.通过实训掌握基本RS触发器的测试方法; 3.通过实训熟悉异步输入信号RD、SD、RD、SD的作用; 4.通过实训掌握基本RS触发器的典型应用; 二、实训原理 基本RS触发器就是由两个与非门交叉耦合组成,它就是最基本的触发器,也就是构成其它复杂触发器电路的一个组成部分。当R D=S D=1时,两个与非门的工作都尤如非门,Q接至与非门G2的输入,使G2输出为Q;Q接至与非门G1的输入,使G1的输出为Q。从而使触发器维持输出状态不变。 三、实训仪器与设备 S303-4型(或其它型号)数字电路实训箱一只; SR8(或其它型号)双踪示波器一只; 直流稳压电源一台; 74LS00 二输入四与非门1片。 四、实训内容与步骤 1.两个TTL与非门首尾相接构成的基本R-S触发器的电路如图7-2-1所示逻辑电路。为 图9-1 基本R-S触发器功能测试 2.按表9-1所示的顺序在Sd、Rd两端信号,观察并记录R-S触发器Q端的状态,并将结果填入表9-1中 表9-1 3.Sd 4.Sd端接高电平,Rd端加脉冲。

5.令Sd=Rd,在Sd端加脉冲。 6.记录并观察2、3、4三种情况下,Q,Q n+1端的状态。从中总结基本R-S触发器的Q端的状态改变与输入端的关系。 五、实训思考题 试根据基本R-S触发器给定的输入信号波形画出与之对应的输出端的波形; 试写出基本R-S触发器的约束方程,并说明哪个就是复位端、哪个就是置位端? 六、训注意事项 接线时要注意电路图中各引脚的编号,连接时不要接错; 手动施加0、1输入电平时要注意开关动作的稳定性与可靠性,要避免开关的抖动; 用双踪示波器观察输出波形时,要注意选择一个较为合适的输入信号的频率。 实训十、计数器的功能测试 一、实训目的 1.掌握计数器的工作原理; 2.通过实训熟悉计数器的功能特点与典型应用; 3.通过实训掌握如何利用现有集成计数器来构成N进制计数器的方法。 二、实训原理 计数器就是一种含有若干个触发器、并按预定顺序改变各触发器的状态来累计输入脉冲个数的数字电路,被广泛应用于定时、分频及各种数字电路中。用JK触发器设计一个四位异步二进制加法器。CP接低频连续脉冲,输出接指示灯。观察指示灯的变化规律,写出状态图。 三、实训仪器与设备 1.S303-4型(或其它型号)数字电路实训箱一只; 2.SR8(或其它型号)双踪示波器一只; 3.直流稳压电源一台; 4.74LS00 二输入四与非门1片; 5.74LS160 十进制计数器1片; 6.74LS74 双D触发器2片; 7.74LS49 4线-七段译码器1片。 四、实训内容与步骤 六进制计数器,图10-1就是用74LS160实现六进制计数器的参考电路。当 Q3Q2Q1Q0=0111时,经过与非门所产生的零脉冲迅速使计数器清零,之后在输入CP脉冲的作用下,依次输出0000→0001→0010→0011→0100→0101→0000。输入低频连续脉冲,观察数码管的显示结果。

触发器功能测试实验报告 031210434

触发器功能测试 031210425 刘思何 一.实验目的 1.了解时钟脉冲的触发作用 2.掌握基本RS、JK、D触发器的逻辑功能、编写和使用 3.理解触发器所实现的状态转换功能 二.实验器件 开发板、计算机、vivado软件 三.实验内容 1.基本RS触发器的编写,验证并且生成IP核。连接电路图,在R,S两引脚输入不同的电平,测试输出端电平。 module rs_ff10( input s_n, input r_n, output q ); reg q; always@* begin case({s_n,r_n}) 2'b00 : q=1'bx; 2'b01 : q=1'b1; 2'b10 : q=1'b0; 2'b11 : q=q; endcase end endmodule 2.JK触发器的编写,验证并且生成IP 核。 module jk_ff10( input clk, output q, output q_n, input j, input k ); reg q; always@(posedge clk) begin case({j,k}) 2'b00 : q<=q; 2'b01 : q<=1'b0; 2'b10 : q<=1'b1; 2'b11 : q<=~q; default : q<=1'bx; endcase end assign q_n=~q; endmodule

先将s_n、r_n置于10或01状态,然后将其置于11状态,给j、k一个初始激励信号,随后一上一下拨动s_n、r_n的开关,输入一个时钟信号,观察q、q_n灯的亮灭情况。 3.D触发器的编写,验证 如JK触发器一样进行验证。 四.实验数据及分析 R触发器 V16 (s_n)V17 (r_n) U16 0 0 不定 0 1 暗 1 0 亮 1 1 保持上个状态

触发器逻辑功能测试及应用

实验六 触发器逻辑功能测试及应用 一、 实验目的: 1、 掌握基本RS JK 、D T 和T 触发器的逻辑功能 2、 学会验证集成触发器的逻辑功能及使用方法; 3、 熟悉触发器之间相互转换的方法。 二、 实验原理: 触发器:根据触发器的逻辑功能的不同,又可分为 三、 实验仪器与器件: 实验仪器设备:D2H +型数字电路实验箱。 集成块:74LS112 74LS74 74LS04 74LS08 四、 实验内容与步骤: 1、基本RS 虫发器逻辑功能的测试: CP J K S D R D Q n 下降沿 0 0 1 1 0 0 下降沿 0 1 1 1 0 0 下降沿 — 1 0 1 1 0 1 下降沿 1 1 1 1 1 3、D 触发器逻辑功能测试: D CP 3 R Q X X 0 1 0 X X 1 1 (2) D 触发器逻辑功能测试: Jh h W 5J I/II -皿: -- LL-LR'W LK 富 CP J K S D R D Q X X X 0 1 0 X X X 1 1 74LS02 74LS86

1 D CP S R? Q n | 上升沿 1 1 1 0 1 上升沿 1 1 o 1 4、不同类型时钟触发器间的转换: JK 转换为D 触发器: T 转换为JK 触发器: JK 转换为RS 触发器:RS 专换为JK 触发器: 五、实验体会与要求: 1 、根据实验结果,写出各个触发器的真值表。 2、 试比较各个触发器有何不同? 3、 写出不同类型时钟触发器间的转换过程。 Q n 1 JQ n KQ n Q n 1 D D KQ n JQ n Q Q > □' JK 转换为T 触发器: Q n 1 TQ T J K TQ n Q n 1 jQ n KQ n n 1 ___ n n n __ n Q DD(Q Q)DQ DQ D K; D J D 转换为JK 触发器: '_|B U 匚 K J P 口n n 111-1 最 |<存,1丘 1|」 _|」己尸 尺 .」 》匚 匸匚 L 丄 号=」 rl XJIl nil JL _____

实训六触发器的逻辑功能测试及应用

实训七 触发器的逻辑功能测试及应用 一. 实训目的 1. 熟悉基本RS 触发器 2. 掌握RS 触发器、D 、JK 触发器的逻辑功能。 3. 了解触发器的功能转换方法,掌握集成触发器的清零和复位端的正确使用。 4. 了解JK 触发器一次翻转现象。 二. 实训仪器与设备 1.通用数字逻辑电路实验箱 2.74HC00、74HC74、74HC76、74HC112、CD4013各一块。 3.MSO 示波器、信号源各一台 三. 实训原理 触发器是时序电路的基本器件,它可以储存、记忆一位二进制数。 1.基本RS 触发器,是由两个互锁、交叉偶合的与非门(或非门)组成。 触发器的特性方程:10 +ì?=+?í ?=??n n Q S RQ RS 有不定状态(约束项)出现。 同步RS 、主从RS 触发器的特性方程都是一样的,与基本RS 触发器的不同之处就是增 加了同步脉冲控制。 2.JK 触发器,管脚见图7-2所示。 JK 触发器的特点是克服了RS 触发器不定状态的产生。在实验中可提供两种JK 触发器,注意电源管脚的不同,触发脉冲CP 沿的差异。特性方程:1+=+n n Q J Q KQ 3. D 触发器,管脚如图7-2所示。 只有一个输入端,可以是上升沿也可以是下降沿触发。在实验中可提供两种D 触发器,注意直接置0置1方式的不同。特性方程:1+=n Q D 。 表7-1 基本RS 触发器真值表 74HC00 图7-1基本RS 触发 器 图7-2 D 、JK 触发器管脚图 1Q 1~1Q 2 1CLK 31RST 41D 51SET 6VSS 7 2SET 82D 92RST 102CLK 11~2Q 12 2Q 13VDD 141D 21Q 5~1Q 6~1CLR 11CLK 3~1PR 4GND 7 ~2Q 8 2Q 9~2PR 102CLK 112D 12~2CLR 13VCC 14 ~1CLR 151J 31K 21CLK 1~1PRE 41Q 5~1Q 6GND 8 ~2Q 72Q 9 ~2PRE 102CLK 132K 122J 11~2CLR 14VCC 1674HC74 4013 74HC7674HC112

555触发器及其应用

实验八 555定时器及其应用 一、实验目的 1.熟悉集成555定时器的特性参数和使用方法。 2.掌握使用555定时器组成施密特触发器的方法 3.掌握使用555定时器组成单稳态触发器的方法,定时元件RC对脉冲宽度的影响。 4.掌握使用555定时器组成自激多谐振荡器的方法和定时元件RC对振荡周期和脉冲宽度的影响。 二、实验器材 1.数字电路实验箱1台 2.示波器 1 台 3.万用表 1 只 4.集成电路:555定时器 1 只 5.元器件:电阻、电容若干只 三、实验原理和电路 1.器件特性 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 集成555定时器有双极性型和CMOS型两种产品。一般双极性型产品型号的最后三位数都

120 是555,CMOS 型产品型号的最后四位数都是7555.它们的逻辑功能和外部引线排列完全相同。器件电源电压推荐为4.5~12V ,最大输出电流200mA 以内,并能与TTL 、CMOS 逻辑电平相兼容。其主要参数见表8.1。 555定时器的内部电路框图及逻辑符号和管脚排列分别如图8.1和图8.2所示。 引脚功能: V i1(TH ):高电平触发端,简称高触发端,又称阈值端,标志为TH 。 V i2(TR ):低电平触发端,简称低触发端,标志为TR 。 V CO :控制电压端。 V O :输出端。 Dis :放电端。 Rd :复位端。 555定时器内含一个由三个阻值相同的电阻R 组成的分压网络,产生31V CC 和32V CC 两个基准电压;两个电压比较器C 1、C 2;一个由与非门G 1、G 2组成的基本RS 触发器(低电平触发);放电三极管T 和输出反相缓冲器G 3。 Rd 是复位端,低电平有效。复位后, 基本RS 触发器的Q 端为1(高电平),经反相缓冲器后,输出为0(低电平)。 分析图8.1的电路:在555定时器的V CC 端和地之间加上电压,并让V CO 悬空,则 比较器C 1的同相输入端接参考电压32V CC ,比较器C 2反相输入端接参考电压31V CC ,为了学习方便,我们规定: . (a) 555的逻辑符号 (b) 555的引脚排列 图8.2 555定时器逻辑符 号和引脚 图8.1 555定时器内部结构 Vi1(TH) Vi2 Vco ..

触发器的使用实验报告

实验II、触发器及其应用 一、实验目的 1、掌握基本RS、JK、D和T触发器的逻辑功能 2、掌握集成触发器的逻辑功能及使用方法 3、熟悉触发器之间相互转换的方法 二、实验原理 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进 制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 如图1为两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称 为置“1”段,因为=0(=1)时触发器被置为“1”;为置“0”端,因为=0 (=1)时触发器被置“0”,当==1时状态保持;==0时,触发器状态不定,应避免此种情况发生,表1为基本RS 触发器的状态表。 图1、基本RS触发器 表1、基本RS触发器功能表 输入输出 0 1 1 0 1 0 0 1 1 1 0 0 不定不定 基本RS 2、JK触发器 在输入信号为双端的情况下,JK触发器的功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降沿出发的边沿触发器。引脚功能及逻辑符号如图2所示。

图2、74LS112双JK触发器引脚排列及逻辑符号 JK触发器的状态方程为:=J+ J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或者两个以上输入端时,组成“与”的关系。和为两个互补输出端。通常把=0,=1的状态定为触发器“0” 状态;而把=1,=0定为“1”状态。下降沿触发JK触发器功能表如表2所示。 表2、JK触发器功能表 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 3、D触发器 在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为=D,其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D端的状态,D触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。有很多种型号可供各种用途的需要而选用。如双D 74LS74、四D 74LS175、六D 74LS174等。 下图为双D774LS74的引脚排列及逻辑符号。功能表如表3.

触发器及其应用

实验四触发器及其应用 一:实验目的 1.掌握基本RS。JK。D和T触发器的逻辑功能 2.掌握集成触发器的逻辑功能及使用方法 3.熟悉触发器之间互相转化的方法 二:实验原理: 触发器具有两个稳定状态。用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元 1.基本RS触发器 图8-1为由两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”。置“1”和保持三种功能。通常称为置“1”端,因为=0(=1)时触发器被置“1”;为置“0”端,因为=1(=0)时触发器被置“0”,但==1时状态保持;==0时,触发器状态不稳定,应避免此种情况发生,表9-1为基本RS触发器的功能表。 基本RS触发器。也可以用两个“或非门”组成,此时为高电平触发有效。 表8-1: 图8-1 基本RS触发器 输入输出 0 1 1 0 1 0 0 1 1 1 0 0 2.JK触发器 在输入信号为双端的情况下,JK触发器是功能完善.使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿除法的边沿触发器。引脚功能和逻辑符号如图8-2所示。 JK触发器的状态方程为 J和K是数据输入端是触发器状态更新的依据,若J。K有两个或两个以上输入端时,组成“与”的关系。Q和为两个互补输出端。通常把Q=0,=1的状态顶为触发器“0”状态;而把Q=1,=0定为“1”状态。

16 15 14 13 12 11 10 9 图8-2 74LS112双JK触发器引脚排列及逻辑符号 下降沿触发JK触发器的功能表如8-2所示表8-2 输入输出 CP J K 0 1 ××× 1 0 1 0 ×××0 1 0 0 ××× 1 1 ↓0 0 1 1 ↓ 1 0 1 0 1 1 ↓0 1 0 1 1 1 ↓ 1 1 1 1 ↑×× 注:×—任意态↓—高到低电平跳变↑—低到高电平跳变 ()—现态()—次态¢—不定态 JK触发器常被用作缓冲存储器,位移寄存器和计数器 3.D触发器 在输入信号为单端的情况下,D触发器用来最为方便,其状态方程为=,其输出 状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只 取决于时钟到来前D端的状态,D触发器的应用很广,可用作数信号的寄存,位移寄存,分 频和波形发生等。有很多种型号可供各种用途的需要而选用。如双D74LS74。四D74LS175, 六D74LS174等 图8-3为双D74LS74的引脚排列及逻辑符号。功能表如表8-3。 图8-3 74LS74引脚排列及逻辑符合

实验2 触发器逻辑功能测试

实验2 触发器逻辑功能测试 一、实验目的 1、掌握基本RS触发器、D触发器、J K触发器的逻辑功能和状态变化特点。 2、掌握基本RS触发器、D触发器、J K触发器逻辑功能测试方法。 3、熟悉不同逻辑功能触发器相互转换的方法。 二、实验仪器及器件 1、实验仪器 (1)TPE-D6Ⅲ型数字电路学习机 (2)VP5220A型双踪示波器 (3)数字万用表 2、器件 (1)74LS00 四2输入与非门1片 (2)74LS74 双D触发器1片 (3)74LS112 双JK触发器 1 片 三、实验器件的逻辑功能 表2-0给出了本实验所用的基本RS触发器、维持阻塞D触发器、负边沿JK触发器的逻辑功能、触发方式及动作特点等相关知识。 表2-0 基本RS触发器、维持阻塞D触发器、负边沿JK触发器的逻辑功能、触发方式及动作特点

四、实验原理 触发器是能存储、记忆二进制信息的器件,是时序逻辑电路的基本单元。 触发器具有“0”状态和“1”状态2个稳定状态,在输入信号作用下可以置于“0”状态或“1”状态。 触发器进行状态转换时,由触发方式决定何时接收输入信号、何时改变输出状态,由逻辑功能决定输出状态改变的方向。 基本RS 触发器逻辑功能的测试原理:触发器的输入端D S 、D R 由逻辑电平开关控制输入0或1,按特性表改变各输入信号状态,用LED 发光二极管显示输出状态,从而验证状态转换关系是否符合要求即是否与特性表相符及状态转换时的动作特点。 时钟触发器置位、复位功能的测试原理:触发器的异步置位端D S 、异步复位端D R 由 逻辑电平开关控制分别输入0,输入1、CP 时钟脉冲端为任意值,用LED 发光二极管显示输出状态,从而验证异步置位、异步复位功能是否符合要求。 时钟触发器逻辑功能的测试原理:触发器的异步置位端D S 、异步复位端D R 置现态为

D触发器的使用

实验3 D触发器及其应用 一、实验目的 1、熟悉D触发器的逻辑功能; 2、掌握用D触发器构成分频器的方法; 3、掌握简单时序逻辑电路的设计方法。 二、实验设备 1、数字电路实验箱; 2、数字双踪示波器; 3、函数信号发生器; 4、集成电路:74LS00; 5、集成电路:74LS74; 三、实验内容 1、用74LS74 (1片)构成二分频器、四分频器,并用示波器观察波形; 简单介绍分析: (1) 74LS74:双D触发器(上升沿触发的边沿D触发器) D触发器在时钟脉冲CP的前沿(正跳变0宀1)发生翻转,触发器的次态取决于CP脉冲上升沿到来之前D端的状态,即'=D O因此,它具有置0、置1两种功能。由于在CP=1期间电路具有维持阻塞作用,所以在 CP=1期间,D端的数据状态变化,不会影响触发器的输出状态。/R D和/S D 分别是决定触发器初始状态的置0、置1端。当不需要强迫置0、置1时,/R D和/S D端都应置高电平。74LS74 (CC4013 ,74LS175 (CC4042等均为上升沿触发的边沿触发器。

(2) 74LS74引脚图:

(图 3-1 ) (3) 二分频器的连接线路原理图: 图(3-2) 实验步骤如下: a. 按照上面的连线原理图(3-2)在实验板上连好线; b. 打开电源开关; c. 在CP 端加入1kHz 的连续方波,用示波器观察 CP 1Q 2Q 各 点的 波形。 (4) 四分频器的连接线路原理图: U1A ID -1FP -1CLR T" Output "0

图(3-3) 实验步骤如下: a. 按照上面的连线原理图(3-3)在实验板上连好线; b. 打开电源开关; c. 在CP 端加入1kHz 的连续方波,用示波器观察 CP 1Q 2Q 各 点的 波形。 2、实现如图所示时序脉冲 (74LS74和74LS00各1片) CP 图(3-4) 简单介绍分析: (1)逻辑分配: Q ; Q n Vo Q n V Q n V I F 0 0 0 1 0 0 1 1 1 0 1 1 1 74L574O 1* U1B ____ 5 ii 1 > i€LK TQ CP * * Ouipul

相关主题
文本预览
相关文档 最新文档