当前位置:文档之家› dac0832工作原理

dac0832工作原理

dac0832工作原理

dac0832 工作原理

DAC0832 是8 分辨率的D/A 转换集成芯片。与微处理器完全兼容。这个DA 芯片以其价格低廉、接口简单、转换控制容易等优点,在单片机应

用系统中得到广泛的应用。D/A 转换器由8 位输入锁存器、8 位DAC 寄存器、8 位D/A 转换电路及转换控制电路构成。

D/A 转换结果采用电流形式输出。若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大器实现。运放的反馈电阻可通过RFB 端弓| 用片内固有电阻,也可外接。DAC0832 逻辑输入满足TTL 电平,可直接与TTL 电路或微机电路连接。

1)分辨率

分辨率它反映了输出模拟电压的最小变化值。定义为输出满刻度电压与2n 的比值,其中n 为DAC 的位数。

分辨率与输入数字量的位数有确定的关系。对于5V 的满量程,采用8 位的DAC 时,分辨率为5V/256=19.5mV;当采用10 位的DAC 时,分辨率则为5V/1024=4.88mV。显然,位数越多分辨率就越高。

DAC0832的波形发生器(汇编)

ORG 0000H KB:MOV P1,#0FFH;置P0口为输入方式MOV A,P1;读键值 CPL A ANL A,#1FH;屏蔽高三位 JZ KB;无键闭合继续检测 ACALL DL Y_10MS;延时10ms,去抖动MOV A,P1;再次检测有无键闭合 CPL A ANL A,#1FH JZ KB CJNE A,#01H,KB01 LCALL FANGBO;调用方波子程序SJMP KB KB01:CJNE A,#02H,KB02 LCALL JVCHI;调用锯齿波子程序SJMP KB KB02:CJNE A,#04H,KB03 LCALL TIXING;调用梯形波子程序SJMP KB KB03:CJNE A,#08H,KB04 LCALL SANJIAO;调用三角波子程序SJMP KB KB04:CJNE A,#10H,KB LCALL ZHENGXIAN;调用正弦波子程序SJMP KB ;方波子程序////////////// FANGBO: MOV DPTR,#0FFFEH LP1: MOV A,0 MOVX @DPTR,A LCALL DELAY1 MOV A,#0FFH MOVX @DPTR,A LCALL DELAY1 AJMP LP1 ;锯齿波子程序///////////// JVCHI: MOV DPTR,#0FFFEH MOV A,#0FFH WW:MOVX @DPTR,A DEC A NOP

NOP NOP AJMP WW ;梯形波子程序 TIXING: MOV DPTR,#0FFFEH MOV R2,#07DH MOV R4,#0AFH MOV A,#00H D1:MOVX @DPTR,A LCALL DELAY2 ADD A,R2 DJNZ R4,D1 AJMP D1 ;三角波子程序/////////////// SANJIAO: MOV DPTR,#0FFFEH MOV R6,#10H MOV A,#00H LOOP1: MOVX @DPTR,A ADD A,R6 CJNE A,#0FFH,LOOP1 LOOP2: MOVX @DPTR,A SUBB A,R6 CJNE A,#07H,LOOP2 AJMP LOOP1 ;正弦波子程序///////////////// ZHENGXIAN: MOV R1,#00H QZ:MOV A,R1 MOV DPTR,#SETTAB MOVC A,@A+DPTR MOV DPTR,#0FFFEH MOVX @DPTR,A INC R1 AJMP QZ ;延时程序2 DELAY2:MOV 31H,#02H PW:DJNZ 31H,PW RET ;延时子程序1 DELAY1: MOV 30H,#0FFH

微机原理及其应用报告数模转换器DAC0832双缓冲输出设计

本科生实验报告 实验名称:数模转换器DAC0832双缓冲输出设计 一、实验目的 1)了解DAC0832芯片引脚、内部结构及工作原理; 2)掌握应用单片机I/O 端口控制DAC0832实现数模转换的方法; 3)掌握DAC0832单缓冲和双缓冲控制技术及编程设计方法; 二、实验原理 DAC0832是8位分辨率的数模转换集成芯片,内部采用倒T 形网络,电流型 输出模式,电流输出稳定时间为1us ,采用单电源供电。 片内部由一个8位输入锁存器、一个8位DAC 寄存器和一个8位D/A 转换器构成,内部具有双缓冲结构,可以实现单缓冲、双缓冲数字输入。 双缓冲同步控制方式 : 针对多个模拟量需要同时输出的控制系统,可以采用双缓冲同步控制方式。D/A 转换数据的输入锁存和D/A 转换输出分两步完成。首先,CPU 分时向各路D/A 转换器输入要转换的数字量并锁存在各自的输入锁存器中,然后,CPU 同时对所有D/A 转换器发出输入所存数据打入DAC 寄存器的控制信号,即可实现 VREF IOUT2 IOUT1 DGND VCC AGND RFB

多通道的同步模拟量数据输出。 应用双缓冲方式,可以在输出模拟信号的同时采集下一个数字量,有效地提高转换速度。另外,可以在多个D/A转换器同时工作时,利用双缓冲模式实现多路D/A的同步输出。 三、实验内容 通过单片机I/O端口控制两路DAC0832实现数模转换,控制方式采用双缓冲控制方式。 1.阅读理解双缓冲控制电路图,分析双缓冲模式下DAC0832与单片机接口电路的设计及两次DA转换实验在控制电路上的异同。 2.设计程序,实现双缓冲模式下DA转换的同步输出。 首先,CPU分时向各路D/A转换器输入要转换的数字量并锁存在各自的输入锁存器中,然后,通过按键控制,同时对两个DAC0832锁存数据进行数模转换,同步产生三角波、正弦波模拟输出信号。 四、实验过程 1,实验原理图 2,实验源程序 #include sbit DAC1_WR1=P2^0; sbit DAC2_WR1=P2^1; sbit DAC_SW1=P2^2; sbit DAC_SW2=P2^3;

基于DAC0832芯片的简单信号发生器设计

东北石油大学课程设计 2012年3月 9 日

东北石油大学课程设计任务书 课程单片机原理及应用课程设计 题目基于DAC0832芯片的简单信号发生器设计 专业班级姓名学号 一、设计目的:训练学生综合运用己学课程的基本知识,独立进行单片机应用技术开发工作,掌握单片机程序设计、调试,应用电路设计、分析及调试检测。 二、设计要求: 1. 应用MCS-51单片机设计基于DAC0832芯片的简单信号发生器; 2. 频率范围:0-1KHZ,输出电压:方波Up-p>3V,正弦波Up-p> 1V,波形特性:方波tr<100us,正弦波非线性失真系数r<5%; 3. 硬件设计根据设计的任务选定合适的单片机,根据控制对象设计接口电路。设计的单元电路必须有工作原理,器件的作用,分析和计算过程; 4. 软件设计根据电路工作过程,画出软件流程图,根据流程图编写相应的程序,进行调试并打印程序清单; 5. 原理图设计根据所确定的设计电路,利用Protel等有关工具软件绘制电路原理图、PCB板图、提供元器件清单。 三、参考资料: [1] 单片微型计算机与接口技术,李群芳、黄建编著,电子工业出版社; [2] 单片机原理及应用,张毅刚编著,高等教育出版社; [3] 51系列单片机及C51程序设计,王建校,杨建国等编著,科学出版社; [4] 单片机原理及接口技术,李朝青编著,北京航空航天大学出版社; 完成期限2012.3.5—2012.3.9 指导教师 专业负责人 2012年 3 月2 日

目录 目录.......................................................................................................................... I 第1章概述.. (1) 第2章信号发生器的原理 (2) 2.1 AT89C51芯片的简单介绍 (2) 2.2 数模转换器DAC0832的简单介绍 (4) 2.3共阳数码管和运算放大器LM358 (6) 第3章硬件电路设计 (7) 3.1 单片机最小系统 (7) 3.2 电源电路的设计 (8) 3.3 D/A转换接口电路的设计 (8) 第4章程序设计 (9) 4.1 主程序设计 (9) 4.2 信号发生器源程序 (10) 第5章总结 (14) 参考文献 (15)

DAC0832接口电路及程序设计

附件1: 学号:0121109320507 课程设计 DAC0832接口电路 题目 及程序设计 学院信息工程学院 专业通信工程 班级信息sy1101 姓名芦启超 指导教师陈适 2014年 6月18日

课程设计任务书 学生姓名:芦启超专业班级:信息sy1101 指导教师:陈适工作单位:信息工程学院 题目: DAC0832接口电路及程序设计 初始条件: (1) Quartus II、ISE 等软件; (2)课程设计辅导书:《Xilinx FPGA 设计与实践教程》 (3)先修课程:数字电子技术、模拟电子技术、通信原理 主要任务: (1)掌握DAC0832接口电路及程序设计的基本原理; (2)掌握仿真软件Quartus II的使用方法; (3)完成对DAC0832接口电路设计,并对仿真结果进行分析。 时间安排: (1)2014 年6月11日--2014 年6月18日理论设计、仿真设计 地点:鉴主13 楼通信工程综合实验室、鉴主15 楼通信工程实验室。 (2)2014 年6 月18 日进行理论答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要............................................................................................................................................. I Abstract ........................................................................................................................................... II 1 基本原理 (1) 1.1 系统背景 (1) 1.2 FPGA最小系统简介 (1) 1.3 DAC0832简介 (2) 1.4 DAC0832 接口电路设计 (2) 1.5 DAC0832 接口电路程序设计 (3) 1.5.1 DAC0832 时序 (3) 1.5.2 DAC0832接口电路输出控制程序 (4) 2 仿真结果与分析 (7) 2.1 关于Quartus II 软件 (7) 2.1.1 Quartus II 的优点 (7) 2.1.2 Quartus II 对器件的支持 (7) 2.1.3 Quartus II 对第三方EDA 工具的支持 (8) 2.2 输出仿真结果 (8) 3 结论 (10) 总结与体会 (11) 参考文献 (12)

课程设计-基于DAC0832的波形发生器设计讲解

波形发生器设计 目录 摘要 (1) 第一章绪论 (2) 第二章DAC0832及其特性 (3) 2.1 D/A转换器与单片机接口探究 (3) 2.1.1 数据线连接 (3) 2.1.2 地址线连接 (3) 2.1.3 控制线连接 (3) 2.2 DAC0832的认识 (4) 2.2.1 DAC0832的结构 (4) 2.2.2 DAC0832的引脚 (4) 2.2.3 DAC0832的启动控制方式 (5) 第三章硬件设计 (7) 3.1 启动方式选择 (7) 3.2 框图设计 (7) 3.3 电路图设计 (7) 第四章程序设计 (9) 4.1 程序流程图 (9) 4.1.1 程序设计思路 (9) 4.1.2流程图 (9) 4.2 用C语言实现 (11) 4.3 用汇编语言实现 (14) 第五章Proteus仿真及结果 (17) 5.1方波: (17) 5.2正弦波: (17) 5.3三角波: (18) 5.4梯形波: (18) 5.5锯齿波: (19) 设计心得: (20) 参考文献: (21)

摘要 本设计使用AT89C51单片机做控制,选择8位D/A转换器DAC0832作D/A 转换。 硬件方面,首先51熟悉单片机的结构和工作原理,连接单片机的最小系统。之后熟悉D/A转换器工作方式,经过分析后选择DAC0832的单缓冲启动控制方式,完成电路框图。进一步根据设计要求完成通过独立按键控制D/A输出,作出电路框图和电路原理图。 软件方面:设计思路主要体现在两点上。一是控制,通过程序控制DAC转换与输出,按键消抖,选择相应的即将输出的波形。二是产生波形,根据波形的特点编写程序以产生相应波形的数字信号。 分别通过C语言和汇编语言实现简易的波形发生器,输出方波、正弦波、三角波、梯形波和锯齿波,通过独立按键控制分别输出不同的波形。以KILL 与Proteus为设计平台,仿真测试设计结果的正确性。 关键字: 51单片机,DAC0832,单缓冲启动控制方式,波形发生器,C语言设计,汇编语言设计

DAC0832中文资料

DAC0832引脚功能电路应用原理图 DAC0832是采样频率为八位的D/A转换芯片,集成电路内有两级输入寄存器,使DAC0832芯片具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。所以这个芯片的应用很广泛,关于DAC0832应用的一些重要资料见下图: D/A转换结果采用电流形式输出。若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大器实现。运放的反馈电阻可通过RFB端引用片内固有电阻,也可外接。DAC0832逻辑输入满足TTL电平,可直接与TTL电路或微机电路连接。

dac0832应用电路图 dac0832应用电路图: DAC0832引脚功能说明: DI0~DI7:数据输入线,TLL电平。 ILE:数据锁存允许控制信号输入线,高电平有效。CS:片选信号输入线,低电平有效。 WR1:为输入寄存器的写选通信号。 XFER:数据传送控制信号输入线,低电平有效。WR2:为DAC寄存器写选通输入线。 Iout1:电流输出线。当输入全为1时Iout1最大。

Iout2: 电流输出线。其值与Iout1之和为一常数。 Rfb:反馈信号输入线,芯片内部有反馈电阻. Vcc:电源输入线 (+5v~+15v) Vref:基准电压输入线 (-10v~+10v) AGND:模拟地,摸拟信号和基准电源的参考地. DGND:数字地,两种地线在基准电源处共地比较好. 采用ADC0809实现A/D转换。 (一)D/A转换器DAC0832 DAC0832是采用CMOS工艺制成的单片直流输出型8位数/模转换器。如图4-82所示,它由倒T型R-2R电阻网络、模拟开关、运算放大器和参考电压VREF四大部分组成。运算放大器输出的模拟量V0为: 图4-82

51单片机与0832波形发生器锯齿波、三角波、正弦波

// 锯齿波 #include #include // 绝对地址访问头文件 #define DAC0832 XBYTE[0x7fff] //DAC0832的地址为0x7fff void delay() // 定时器定时1ms { TH1=0xfc; TL1=0x18; //定时器初值设定 TR1=1; //启动定时器 while(!TF1); //查询是否溢出 TF1=0; //将溢出标志位清零 } void main() {unsigned char i; TMOD=0x10; // 设置定时器工作方式 while(1) {for(i=0;i<=255;i++) //形成锯齿波,最大值为255 { DAC0832=i; // D/A转换输出 delay(); // 延时 } } } //三角波 #include #include // 绝对地址访问头文件 #define DAC0832 XBYTE[0x7fff] //DAC0832的地址为0x7fff void delay() // 定时器定时1ms { TH1=0xfc; TL1=0x18; //定时器初值设定 TR1=1; //启动定时器 while(!TF1); //查询是否溢出 TF1=0; //将溢出标志位清零 } void main() {unsigned char i; TMOD=0x10; // 设置定时器工作方式 while(1) {for(i=0;i<255;i++) //形成三角波,i增加到最大值为255 {

dac0832函数信号发生器.

智能仪器课程设计 设计题目:函数信号发生器设计 学生姓名:赵鑫、罗承波、江再农 学院名称:机械工程学院 班级:测控技术与仪器062班 学号:200646000227、20064600222、20064600215 指导教师:袁锋伟、王玉林、蒋彦 2009年6月

设计任务: 设计一个函数信号发生器,具体指标如下: 1采用AT89S51及DAC0832设计函数信号发生器; 2输出函数信号为正弦波或三角波或阶梯波; 3输出信号频率为100Hz,幅度0-10V可调; 4必须具有信号输出及外接电源、公共地线接口

低频函数信号发生器的设计 摘要:信号发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。目前使用的信号发生器大部分是利用分立元件组成的体积大,可靠性差,准确度低。课程设计需要各个波形的基本输出,这些波形的实现的具体步骤:正弦波的实现是非常麻烦的。它的实现过程是通过定义一些数据,然后执行时直接输出定义的数据就可以了。而三角波,则每次累加1,当达到初值时,每次累减1,算出延时时间,也就达到要求了,阶梯波和三角波类似!该设计使用的是AT89S51单片机构成的发生器,可产生三角波、方波、正弦波等多种波形,波形的频率可用程序控制改变。在单片机上加外围器件独立式开关,通过开关控制波形的选择。在单片机的输出端口接DAC0832进行DA转换,再通过运放进行波形调整,最后输出波形接在示波器上显示。 关键词:信号发生器;单片机;波形调整

The design of low-frequency function signal-generator Abstract:Signal generator is a common signal source, widely used in electronic circuits, automatic control systems and experiments in areas such as teaching. Currently used by most of the signal generator is composed of discrete components use bulky, poor reliability, low accuracy. Curriculum design of the basic needs of all the output waveform, the waveform of the specific steps to achieve: the realization of sine wave is very troublesome. It is through the implementation of the definition of some data, and then direct the output when the definition of the implementation of the data on it. The triangle wave, then add 1 each time, when the initial value to reach every tired by 1, calculate the delay time, they meet the requirement, the ladder-wave and triangular wave similar! The design is composed of single-chip AT89S51 generator can produce the triangular wave, square wave, sine wave, etc.,

DAC0832电路与程序(正弦波)

DAC0832电路与程序设计(正弦波) 1.函数法正弦波 #include #include sbit cs1=P2^3; sbit rd=P2^4; unsigned char p,q; float f; int a; #define pi 3.1415926

void delay(unsigned char i) { unsigned char j; for(;i>0;i--) for(j=0;j<110;j++); } void main() { cs1=0; rd=0; while(1) { q=0; for(q=0;q<225;q++) { p=5+q; if(p>255) p=0; f=(sin(2*pi/225*q)+1)*128; a=f;

P1=a; //delay(10); } } } 2.查表法正弦波高低频叠加 #include #include sbit cs1=P2^3; sbit rd=P2^4; unsigned char p,m; unsigned char code sin[]={ 0x80,0x83,0x86,0x89,0x8D,0x90,0x93,0x96,0x99,0x9C,0x9F,0xA2,0xA5,0xA8,0x AB,0xAE, 0xB1,0xB4,0xB7,0xBA,0xBC,0xBF,0xC2,0xC5,0xC7,0xCA,0xCC,0xCF,0xD1,0x D4,0xD6,0xD8, 0xDA,0xDD,0xDF,0xE1,0xE3,0xE5,0xE7,0xE9,0xEA,0xEC,0xEE,0xEF,0xF1,0x F2,0xF4,0xF5,

课程设计——波形发生器

波形发生器设计 一.摘要 本文以AT89C51单片机为核心,采用C语言的编程方法,外加DAC0832数模转换模块与集成运放模块,构成了函数波形发生器。可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可用程序改变,并可根据需要选择输出波形。其中运用软硬件结合的方法实现设计功能,具有线路简单、结构紧凑、性能优越等特点。 关键词:51单片机;DAC;函数波形发生器 二.设计要求 1.产生正弦波、方波、三角波; 2.幅度可以设定; 3.出频率能达到1MHZ。 4. 发挥部分(自选) 三.设计目的 1、巩固、加深和扩大单片机应用的知识面,提高综合及灵活运用所学知识解决实际课题设计的能力。 2、培养针对课题需要,选择和查阅有关手册、图表及文献资料的能力,提高组成系统、编程、调试的动脑动手能力。 3、通过对课题设计方案的分析、选择、比较,熟悉运用单片机系统开发、软硬件设计的方法内容及步骤。 4,掌握各个接口芯片(如0832等)的功能特性及接口方法,并能运用其实现一个简单的单片机应用系统功能器件。

四.设计方案 波形发生器的实现方法通常有以下几种: 方案一:采用模拟电路搭建函数信号发生器,它可以同时产生方波、三角波、正弦波。但是这种模块产生的不能产生任意的波形(例如梯形波),并且频率调节很不方便。 方案二:采用锁相式频率合成器,利用锁相环,将压控振荡器(VCO)的输出频率锁定在所需频率上,该方案性能良好,但难以达到输出频率覆盖系数的要求,且电路复杂。。 方案三:采用AT89S52单片机和DAC0832芯片,直接连接键盘和显示。该种方案主要对AT89S52单片机的各个I/O口充分利用。P1口是连接键盘, P2口接显示电路,P0口连接DAC0832输出波形。这样总体来说,能对单片机各个接口都利用上,而不在多用其它芯片,从而减小了系统的成本。也对按照系统便携式低频信号发生器的要求所完成。占用空间小,使用芯片少,低功耗。 综合考虑,方案三各项性能和指标都优于其他几种方案,能使输出频率有较好的稳定性,充分体现了模块化设计的要求,而且这些芯片及器件均为通用器件,在市场上较常见,价格也低廉,样品制作成功的可能性比较大,所以本设计采用方案三。 五.设计思路 1.基本功能 1.1.波形的产生 (1)正弦波:通过手动的方法计算出输出各点的电压值,然后

微机原理及其应用报告:数模转换器DAC0832双缓冲输出设计

本科生实验报告 实验名称:数模转换器DAC0832双缓冲输出设计 一、实验目的 1)了解DAC0832芯片引脚、内部结构及工作原理; 2)掌握应用单片机I/O端口控制DAC0832实现数模转换的方法; 3)掌握DAC0832单缓冲和双缓冲控制技术及编程设计方法; 二、实验原理 DAC0832是8位分辨率的数模转换集成芯片,内部采用倒T形网络,电流型输出模式,电流输出稳定时间为1us,采用单电源供电。 片内部由一个8位输入锁存器、一个8位DAC寄存器和一个8位D/A转换器构成,内部具有双缓冲结构,可以实现单缓冲、双缓冲数字输入。 双缓冲同步控制方式: 针对多个模拟量需要同时输出的控制系统,可以采用双缓冲同步控制方式。D/A转换数据的输入锁存和D/A转换输出分两步完成。首先,CPU分时向各路D/A转换器输入要转换的数字量并锁存在各自的输入锁存器中,然后,CPU同时对所有D/A转换器发出输入所存数据打入DAC寄存器的控制信号,即可实现

多通道的同步模拟量数据输出。 应用双缓冲方式,可以在输出模拟信号的同时采集下一个数字量,有效地提高转换速度。另外,可以在多个D/A转换器同时工作时,利用双缓冲模式实现多路D/A的同步输出。 三、实验内容 通过单片机I/O端口控制两路DAC0832实现数模转换,控制方式采用双缓冲控制方式。 1.阅读理解双缓冲控制电路图,分析双缓冲模式下DAC0832与单片机接口电路的设计及两次DA转换实验在控制电路上的异同。 2.设计程序,实现双缓冲模式下DA转换的同步输出。 首先,CPU分时向各路D/A转换器输入要转换的数字量并锁存在各自的输入锁存器中,然后,通过按键控制,同时对两个DAC0832锁存数据进行数模转换,同步产生三角波、正弦波模拟输出信号。 四、实验过程 1,实验原理图 2,实验源程序 #include sbit DAC1_WR1=P2^0; sbit DAC2_WR1=P2^1; sbit DAC_SW1=P2^2; sbit DAC_SW2=P2^3;

单片机制作简易正弦波信号发生器(DAC0832)

调试时,电源的质量需要较高,不然的话,波形不易观察看清楚。 //河北工程大学信电学院自动化系 //设计调试成功 ***************将DA输出的 0V ~ -5V范围扩展成 -5V ~ +5V范围,电路如下图:*************** 如若VO2输出更平滑一些,可以在VO2处接一个小电容,滤掉高频。 (一)过程分析计算如下: ?第一级运放出来的V o1=-N*V ref/256。当V ref为+5V时,V o1=0~ -5V。 其中,V ref为参考电压,N为8位数字量输出到DAC0832 ?并结合第二级运放,是否可以推出来如下式子: V o2=-(2*V o1+V ref)=-(2*-N*V ref/256+V ref) =-(-2N*V ref/256+V ref) =2N*V ref/256-V ref 当参考电压V ref=5V时,V o2=10N/256-5。 由于要求输出的是正弦波xsinθ,幅值x不定,下面考虑幅值x分别取5和1的情况: ●当输出波形为5 sinθ时:5 sinθ=V o2 =2N*V ref/256-V ref =10N/256-5 //此时V ref=+5V 得sinθ=2N/256-1

●当输出波形为sinθ时:sinθ=V o2 =2N*V ref/256-V ref =10N/256-5 //此时V ref=+5V 得sinθ=10N/256-5 最后可以考虑输出波形的频率问题。例如要求输出特定频率的正弦波。 (二)针对输出的不同幅值波形 ?当输出波形为5 sinθ时:得sinθ=2N/256-1 这里我们要求进步为一度。具体到进步大小,和内存RAM或者ROM有关,即和你存放数据表的空间有关。放到哪个空间都可以。(这里周期采样最多256个点,步数可以为1、2、5等,自己视情况而定,这里由于是360度,256个采样点,故步的大小360/256=1.4=△θ,由此算的前三个 θ=0,1.4,2.8……,对应N为0x80,0x83,0x86……) 通过sinθ的特征和计算部分数据发现规律: 0~90度与90~180度大小是对称的;181~270度与270~359度是对称的。 故,不是所有数据都是计算的。

数模转换DAC0832的应用(含电路和源程序)

[实验要求] 通过用单片机控制DAC0832输出锯齿波,让实验板上发光二极管D12由暗到亮变化,循环下去。[实验目的] 学会用单片机控制数模转换芯片DAC0832。 DAC0832:DAC0832是8位全MOS中速D/A 转换器,采用R—2RT 形电阻解码网络,转换结果为一对差动电流输出 [实验要求] 通过用单片机控制DAC0832输出锯齿波,让实验板上发光二极管D12由暗到亮变化,循环下去。 [实验目的] 学会用单片机控制数模转换芯片DAC0832。 DAC0832:DAC0832是8位全MOS中速D/A 转换器,采用R—2RT 形电阻解码网络,转换结果为一对差动电流输出,转换时间大约为1us。使用单电源+5V―+15V供电。参考电压为-10V-+10V。在此我们直接选择+5V 作为参考电压。DAC0832 有三种工作方式:直通方式,单缓冲方式,双缓冲方式;在此我们选择直通的工作方式,将XFER WR2 CS 管脚全部接数字地。管脚8 接参考电压,在此我们接的参考电压是+5V。我们在控制P0口输出数据有规律的变化将可以产生三角波,锯齿波,梯型波等波形了。 [硬件电路] [源代码]

//TX-1BDA测试程序,下载后可观察到D13发光二极管由暗变亮再熄//灭过程, #include sbit wela=P2^7; //数码管位选 sbit dula=P2^6; //段选 sbit dawr=P3^6; //DA写数据 sbit csda=P3^2; //DA片选 unsigned char a,j,k; void delay(unsigned char i) //延时 { for(j=i;j>0;j--) for(k=125;k>0;k--); } void main() { wela=0; dula=0; csda=0; a=0; dawr=0; while(1) { P0=a; //给a不断的加一,然后送给DA delay(50); // 延时50ms 左右,再加一,再送DA。 a++; } } 注意:随着给DA送的数字量的不断增加,其转换成模拟量的电流也不断的增大,所以我们观察发光二极管D12就会从暗变亮,熄灭。。。

DAC0832数模转换说明书

设计说明书 题目:DAC0832数模转换 专业:机电 班级:机械111 姓名:蒋德昌 学号:2011071117

摘要 波形发生器是能够产生大量的标准信号和用户定义信号,并保证 高精度、高稳定性、可重复性和易操作性的电子仪器。函数波形发 生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各 种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛 用于自动控制系统、震动激励、通讯和仪器仪表领域。 本设计是基于DAC0832波形发生器设计与实现。系统是用AT89C51 作为系统的控制核心,外围电路采用数字/模拟转换电路DAC0832, 运放电路采用最简单的反相放大器,按键,LCD显示器等。系统通 过按键来进行整个系统的控制,按键控制切换产生正弦波,锯齿波,三角波,并且通过另外四个按键改变幅值和频率。系统经过调试和 最后的检测,可以得出本系统一下特点:性能较好,稳定性强,价 格便宜,容易操作,具有一定的实用性,最后的成品可以用在常用 的有波形发生器功能要求的应用电子仪器设备上。 关键词:单片机波形发生器 DAC0832 LCD显示器

目录 1设计任务 (4) 2系统整体方案 (4) 3仿真图 (6) 4所用硬件介绍 (9) 4.1 DAC0832 (9) 4.2 LCD1602 (10) 4.3排阻 (11) 4.4 运算放大器 (12) 4.5按键 (13) 5软件系统设计 (14) 5.1 主程序流程图 (14) 5.2波形选择的设计 (14) 5.3按键改变波形频率的设计 (15) 5.4按键改变波形振幅的设计 (15) 6总结 (16)

接口芯片dac0832的应用三角波、梯形波两种波形

课程设计(论文)
课程名称: 微型计算机组成原理与接口技术
组 别:
第十组
题 目: 接口芯片 DAC0832 的应用
院 (系): 信息与控制工程系
专业班级: 电子信息科学与技术 1202
姓 名:
学 号:
指导教师:
2015 年 月 日

西安建筑科技大学华清学院 《微机原理与接口技术》课程设计(论文)任务书
专业班级:电子信息科学与技术1202学生姓名:
一、课程设计(论文)题目
指导教师(签名):
波形发生器的设计 二、本次课程设计(论文)应达到的目的
基于 Proteus 软件,绘制 8086 微处理器和 DAC0832 外围电路,实 现波形发生器的设计。
三、本次课程设计(论文)任务的主要内容和要求(包括原始数据、技术 参数、设计要求等)
1、利用 Proteus 软件绘制由 8086 微处理器和 DAC0832 构造波形发生器; 2、利用汇编语言编写程序实现三角波、梯形波两种波形; 3、综合调试实现仿真功能。 四、应收集的资料及主要参考文献: 1.刘乐善.微型计算机接口技术及应用[M].武汉:华中科技大学出版社, 2000 2.陈红卫.微型计算机基本原理与接口技术[M].北京:科学出版社,2003 3.顾晖,梁惺彦等.基于8086和Proteus仿真[M].北京:电子工业出版社, 2011.

五、审核批准意见
教研室主任(签字)

摘要
D/A 转换器即 DAC 是指把输入的数字信号量信息转换成为对应的模拟量信 号输出。本次课设是采用 DAC0832 波形发生器来设计和实现,系统利用 8086 作 为系统的核心来控制整个电路,加上 74154TTL 4 线—16 线译码器和 74273TTL 带公共时钟复位八 D 触发器以及 7427TTL3 输入端三或非门等器件的使用来完成 整个电路的设计,从而实现三角波,方波,锯齿波和阶梯波等波形。
关键字:DAC0832 波形发生器

DAC0832波形发生器课程设计实验报告

DAC0832波形发生器课程设计实验报告 目录 第1章系统设计方案 (2) 1.1 设计思路 (2) 1.2 方案比较与选择 (2) 第2章系统硬件设计..................................................................................2. 2.1 主控制器电路 (2) 2.2 数模转换电路 (3) 第3章系统软件设计................................................................................ .6 3.1 系统整体流程...................................................................................... .6 3.2 数模转换程序...................................................................................... .6 第4章系统调试 (8) 4.1 proteus的调试 (8) 第5章结论与总结 (11) 5.1 结论 (11) (系统总体设计与完成做一个总结,是客观的,主要包括:设计思路,设计过程,测试结果及完善改进的方向。) 5.2 总结 (11) (这是一个主观的总结,谈谈自己收获和不足等方面的内容。) 第1章系统设计方案 1.1 设计思路 (一)、课设需要各个波形的基本输出。如输出矩形波、锯齿波,正弦波。这些波形的实现的具体步骤:正弦波的实现是非常麻烦的。它的实现过程是通过定义一些数据,然后执行时直接输出定义的数据就可以了。然而为了实现100HZ的频率,终于发现,将总时间除了总步数,根据每步执行时间,算出延时时间,最终达到要求,然后建一个表通过查表来进行输出,这样主要工作任务就落到了建表的过程中。这样做的好处在于,查表所耗费的时钟周期相同,这样输出的点与点之间的距离就相等了,输出的波形行将更趋于完美,当然更让我们感到的高兴的是它输出波形的频率将近达到了100赫兹,能够满足我们设计的扩展要求了。

应用笔记--DAC0832双电源

DAC0832双电源使用调试心得 2012-1-12 经过了一两天的制版和软件的调试,DAC0832的双电源的D/A转化已经完成,成功输出三角波。下面来分享自己的制作经历。 先贴下原理图和PCB:

设计的时,考虑布线的方便,8个数据线的顺序是打乱的,实现了真正的单面板,没有一根跳线的。 下面介绍下这块芯片的基本原理:8位并行、中速(建立时间1us)、电流型、低廉(10-20元)。 图1.1内部的控制方式(摘录DAC0832的Datasheet) 图1.2相应电路的具体介绍 在这次的实际使用时第8号脚(Vref)接的是负电源,可以用图1.2的电路理解,运放的负端和正端因为虚端而成零电位,因此运放的负端经过T型电阻网络向Vref(负电压) (Vo-V-)/Ro=(V- -Vref)/R 流出电流Ia,则Vo经过Ro向运放负端流出电流Ib,明显,Ia=Ib,由 可得,Vo输出为正电压。在这里,我们使用了反相放大电路,可得到的是Vo是正电压。如果你觉得还有点奇怪的话,那说明你还要看下模电书本了!还有,这里如果我们是从Vref 端经T型电阻网络向运放负端流电流,则必有运放负端经过Ro向输出Vo流出电流,这样的画输出就成负电压(运放也是双电源供电)!双电源电路实现的原理介绍就到这边。 在自己的制作过程中因自己的一个小马虎而使我调试了差不多一天。我是用Atmega16编的软件的三角波的程序,实现电压的0V~5V的三角波。程序在这边就不献丑了,这几十条代码。因为我的板子上的接口的数据线(D0~D7)排列是无序的,使我在与单片机的引脚连接时有点眼花缭乱。刚开始写好的三角波的程序一直不能实现标准的三角波,用示波器看

ADC0832模拟波形发生器实验报告

控制基础实验 ——模拟波形发生器 成绩________ 课程名称:__ ___________ 学院(系): 专业: 班级: 学号: 学生姓名: 分工任务:

一、实验题目 1、设计一个波形发生器,使能输出锯齿波、三角波、正弦波等。 2、在proteus仿真软件中连接单片机系统硬件图,在keil c51软件中编写并 调试应用程序,使能在proteus中运行并达到预期效果。 二、实验目的 1、学会DAC0832芯片的基本知识,并掌握使用方法。 2、掌握单片机最小系统的基本知识,能设计并完成一些简单应用。 3、掌握Proteus及Keil软件在51系列单片机中的使用及调试。 4、会根据实际功能,正确选择单片机功能接线,编制正确程序。对实验结 果能做出分析和解释,能写出符合规格的实验报告。 三、实验工具 软件:Proteus单片机仿真软件、keil51,PC机。 四、实验内容 掌握DAC0832芯片的使用方法,在Proteus仿真软件中连接好电路图,在Keil中编写程序,使得能够输出较规范的锯齿波、三角波、正弦波。 五、实验原理 1、单片机工作原理:单片机是指一个集成在一块芯片上的完整计算机系统。 通过编程控制单片机的I/O端口、中断、定时器、寄存器等部件可以完 成很多应用。 2、DAC0832的工作原理:DAC0832是8分辨率的D/A转换集成芯片,由8位输 入锁存器、8位DAC寄存器、8位D/A转换电路及转换控制电路构成。 3、DAC0832引脚功能说明: DI0~DI7:数据输入线,TLL电平。 ILE:数据锁存允许控制信号输入线,高电平有效。 CS:片选信号输入线,低电平有效。 WR1:为输入寄存器的写选通信号。 XFER:数据传送控制信号输入线,低电平有效。 WR2:为DAC寄存器写选通输入线。 Iout1:电流输出线。当输入全为1时Iout1最大。 Iout2: 电流输出线。其值与Iout1之和为一常数。 Rfb:反馈信号输入线,芯片内部有反馈电阻. Vcc:电源输入线(+5v~+15v) Vref:基准电压输入线(-10v~+10v) AGND:模拟地,摸拟信号和基准电源的参考地.

波形发生器的设计与仿真(校级优秀)

波形发生器的设计与仿真 学生姓名:李芃博指导老师:朱晓娟 (信息与控制工程学院电子信息工程专业) 【摘要】:波形发生器是一种应用广泛的信号源。它输出的常规波形经常应用在电子电路的性能测试、参数测量,电子技术实验等科研领域。本文设计一种基于单片机AT89C52的波形发生器。系统根据查表法基本原理,采用单片机查询片内ROM波形函数表,并用DAC0832进行数模转换实现波形生成。双D/A数控电位器实现幅度控制。系统利用软件方式实现直接数字频率合成,并使用LED灯作为波形的输出显示。输出波形包括正弦波、方波、三角波信号以及上述三种波形线性组合波,可以进行波形幅值和频率的调节,并用采用中断式键盘实现人机交互,串行E2PROM(A T24C02)实现了波形数据的掉电保护功能。该波形发生器具有操作简便灵活,性价比高和智能化程度高等特点。 【关键词】:波形发生器;数字频率合成;单片机A T89C52;D/A转换 【abstract】:The Waveform generator is a widely used source.The conventional waveform of its output is often used in performance testing of electronic circuit,parameter measurement, electronic technology experiment and other research areas. This paper design a waveform generator based on SCM A T89C52.According to the basic principle of look-up table method,the system use the SCM to query the On-chip ROM waveform function table and use the DAC0832 chip to generate the waveform by digital to analog date conversion.The dual D/A digitally controlled potentiometers realize the waveform amplitude control.The system achieve the Direct Digital Frequency Synthesizer by programing as well as use the LED lamp to show the current waveform.Output waveforms include sine, squae, triangular wave signal and a linear combination wave of the above three kinds of waveforms. The amplitude and frequency of the waveform can be regulated.The user can control the waveform generator by using the interrupt-type keyboard. Serial E2PROM (A T24C02) realizes the waveform data protection against power down.The waveform generator is simple, flexible, cost-effective and high intelligence. 【key words】:Waveform generator; DDS; SCM A T89C52; Digital to analog conversion 1绪论 1.1课题背景 波形发生器亦称函数发生器,作为实验用信号源,是现今各种电子电路设计应用中必不可少的仪器设备之一。随着电子测量以及很多部门对各种波形信号发生器的广泛需要以及电子技术的快速发展,促使信号发生器性能提高,种类增多。尤其随着70年代微处理器的崭露头角,更促使信号发生器向着智能化、自动化方向发展。信号发生器作为一种通用的电子设备,在科研、测控、通讯生产等领域都得到了非常广泛的运用。 1.2理论分析 直接数字频率合成(DDS,Direct Digital Synthesis)技术是一种先进的频率合成技术,其基本原理是基于奈奎斯特(Nyquist)采样定律,将模拟信号经采样量化后存入存储器的查找表

相关主题
文本预览
相关文档 最新文档