当前位置:文档之家› 多功能数字电子表

多功能数字电子表

多功能数字电子表
多功能数字电子表

一、设计任务与要求:

设计任务:多功能数字电子表

基本要求:计时功能:显示时、分、秒,定闹功能,秒表功能,倒计时功能。

提高要求:增加“语音报时”功能,增加“电子日历”功能。

二、方案比较

方案一:

按照系统设计的功能的要求,初步确定系统由主控模块、时钟模块、显示模块各键盘接口模块、功能指示模块共5 个模块组成,电路系统构成框图如图1所示。主控芯片使用52 系列STC89C52RC 单片机,时钟芯片使用美国DALLAS 公司推出的一款高性能、低功耗、带RAM 的实时时钟DS1302。采用DS1302 作为计时芯片,可以做到计时准确。更重要的是,DS1302 可以在很小电流的后备电源(2.5V--5.5V 电源,在2。5V 时耗电小于300nA)下继续计时,而且DS1302 可以编程选择多种充电电流来对后备电源进行慢速充电,可以保证后备电源基本功不耗电。显示模块采用普通的共阳LED 数码管,键输入采用查询法实现功能调整。

方案二:

按照系统设计的要求和功能,将系统分为主控模块、时钟电路模块、按键扫

描模块,LCD 显示模块,电源电路、复位电路、晶振电路几个模块,系统框图如

图2 所示。主控模块采用STC89C52RC 单片机,按键模块用四个按键,用于调整

时间和设定闹钟,显示模块采用LCD1602,时钟电路模块采用DS1302 实时时钟

实现对时间,日期的操作。

方案三:

按照系统设计的要求和功能,将系统分为主控制器模块、显示模块、按键开

关模块、蜂鸣器电路模块。系统框图如图3 所示,主控制模块采用STC89C52RC

单片机为控制中心,显示模块采用液晶LCD1602 显示,计时使用STC89C52RC 单

片机自带的定时器功能,实现对时间、日期的操作,通过按键盘开关实现对时间、

日期的调整。

图3 基于STC89C52RC 单片机的数字钟总体设计框图

方案选择:

上面提到的三个方案中,在电路原理方面大致相同,都能够达到设计任务与要求,在方案一款方案二中使用外部的时钟芯片DS1302 来实现日期和时间的操作,方案三中则利用了单片机自身的定时器功能;方案二和方案三在显示模块上都使用液晶显示屏LCD1602 作为显示,方案一则使用LED 数码管作为显示,采用LED 数码管动态扫描,数码管的价格适中,对于显示数字较好,而且使用单片机的端口也较少;采用LCD1602 液晶显示屏,液晶显示屏的显示功能强大,可以显示大量文字、图形,显示多样性,清晰可见,价格相对LED 数码管来说要昂贵些,但是基于本设计显示的东西较多,若采用LED 数码管的话,所需数码管较多,价格也相应的会提高,而且不利于控制,对于LCD1602,随着现在制造的发展,价格也在下降,同时它所使用的端口也不很多,能够清晰的显示,比较适合显示大量的数字,因此选择LCD1602 作为显示模块。DS1302 是一款高性能的实时时钟芯片,以计时准确、接口简单、使用方便、工作电压范围宽和低功耗等优点,得到广泛的应用,同时可以对秒、时、分、日、月、年以及润年补偿的年进行计数,而且在掉电时能够在外部纽扣电池的供电下继续工作,

不会因为掉电后,其时间就要重新设置,方案三中使用定时器的功能,当在掉电的时候就会使时间和日期回到原来设定的初始值,同时直接采用单片机定时计数提供秒信号,使用程序实现年时间和日期,采用此种方案,节约成本,但是实现的时间误差较大,所以不采用这种方案。

通过对上述方案的论证分析,本次设计选择方案一,采用STC89C52RC 作为主控制系统,DS1302 提供时钟,数码管作为显示模块

三、硬件单元电路设计

1.主控制系统

单片机中央处理系统的方案设计,我们选用具有STC 公司的STC89C52 单片机作为中央处理器,如图4 所示。该单片机除了拥有MCS-51 系列单片机的所有优点外,内部还具有8K 的在系统可编程FLASH 存储器,低功耗的空闲和掉电模式,极大的降低了电路的功耗,还包含了定时器、程序存储器、数据存储器等硬件,其硬件能符合整个控制系统的要求,不需要外接其他存储器芯片和定时器件,方便地构成一个最小系统。整个系统结构紧凑,抗干扰能力强,性价比高。是比较合适的方案。

图4 STC89C52RC 主控制系统

2、时钟振荡电路

时钟振荡电路图5 所示,时钟振荡电路用于产生单片机正常工作时所需要的时钟信号,电路由两个30pF 的瓷片电容和一个20MHz 的晶振组成,并接入到单片机的XTAL1 和XTAL2 引脚处,使单片机工作于内部振荡模式。此电路在加电后延迟大约10ms 振荡器起振,在XTAL2 引脚产生幅度为3V 左右的正弦波时钟信号,其振荡频率主要由石英晶振的频率决定。电路中两个电容C1、C2 的作用使电路快速起振,提高电路的运行速度,对于STC89C52RC 其工作频率为0 至33MHz,在这个范围内单片机能够正常的工作。在实现倒计时与秒表时用到了单片机的定时器。若要计时1ms 则设定的初值应该为16666.

图5 STC89C52RC 时钟振荡电路

3、复位电路

复位电路由电阻和极性电容组成,如图6 所示,通过高电平使单片机复位,在时钟电路开始工作后,当高电平的时间超过大约2us 时,即可实现复位。此复位电路同时具备了上电复位和手动复位的功能,上电复位发生在开机加电时,由系统自动完成,手动复位通过一个按键来实现,在程序运行时,若遇到死机,死循环或程序“跑飞”等情况,通过手动复位就可以实现重新启动的操作。手动按钮复位需要人为在复位输入端RST 上加入高电平。一般采用的办法是在RST 端和正电源Vcc 之间接一个按钮和一个电阻,如图所示,当人为按下按钮时,则Vcc 的+5V 电平就会直接加到RST 端,由于人的动作再快也会使按钮保持接通达数十毫秒,所以,完全能够满足复位的时间要求。上电复位的工作过程是在加电时,复位电路通过电容加给RST 端一个短暂的高电平信号,此高电平信号随着Vcc 对电容的充电过程而逐渐回落,即RST 端的高电平持续时间取决于电容的充电时间,由图可知充电时间为:

T=2.3RC=2.3*10*10-6*5.1*103=0.1173s ,保证系统能够可靠地复位。

4、DS1302 时钟电路

时钟电路主要由时钟芯片DS1302、晶振等几部分组成,如图7 所示。DS1302采用3 线串行接口,占用引脚少,内部集成了可编程日历时钟,用户可以根据需要通过单片机的控制来自行设置,支持双电源供电,可以使用外部主电源和备用电源,备份电源能够使时钟芯片继续工作,但因为空间问题没有采用备用电源。

5、按键电路

按键电路由六个轻触开关组成,如图8 所示。按键用来调整时间和设定闹钟,

以及功能选择,其一端直接接到单片机的端口,另一端接地,当按下按键时,相

应的端口变为低电平,通过检测这一低电平就可以判断是哪个键按下,从而作相

应的操作。

图8 按键电路

6、显示电路

显示电路采用数码管显示,图中只画出了其相应的接口,如图9 所

图9 LCD1602 接口电路

7、蜂鸣器电路

蜂鸣器电路由一个220 欧的电阻,三极管8550,及蜂鸣器组成,如图10 所示。通过控制三极管的导通和截止来实现蜂鸣器的响与不响。

8、元件清单

四.程序

HOU_S BIT P2.0;第一个数码管

HOU_G BIT P2.1;第二个数码管

MIN_S BIT P2.2;第三个数码管

MIN_G BIT P2.3;第四个数码管

SEC_S BIT P2.4;第五个数码管

SEC_G BIT P2.5;第六个数码管

T_RST BIT P0.3

T_CLK BIT P0.4

T_IO BIT P0.5

DAY EQU 39H;日期

MONTH EQU 3AH;月份

YEAR EQU 3BH;年份

SECOND EQU 30H;秒

MINUTE EQU 31H;分

HOUR EQU 32H;时

TIM_1 EQU 33H;

TIM_2 EQU 34H;

ORG 0000H

LJMP CHUSHI

ORG 000BH

LJMP TIMER0

ORG 30H

CHUSHI: ;初始化

CLR T_RST

CLR T_CLK

MOV SP ,#60H

MOV SECOND,#0;

MOV MINUTE,#0;

MOV HOUR,#0;时间的初值为000000

MOV DAY,#1;

MOV MONTH,#1;

MOV YEAR,#1;日期的初值为010101

SETB HOU_S;

SETB HOU_G;

SETB MIN_S;

SETB MIN_G;

SETB SEC_S;

SETB SEC_G;初始状态为1,都不显示

MOV R0,#0;

MOV R1,#12;闹铃初始化

MOV R3,#0;

MOV R4,#1;存储定时时间,点击定时开始后,从所存时间开始倒计时MOV R5,#0;

MOV R6,#0;设定定时时间所用寄存器

MOV 38H,#12;

MOV 37H,#0;存储所定闹铃,以便判断是否闹铃时间到

CLR P0.7;

MOV TIM_1,#10;执行完后经历0.5秒

MOV TIM_2,#2;执行完后经历1秒

LCALL SETDS1302

LCALL GET1302

LCALL DISPLAY

MOVE40H,#25

ANL TMOD,#0F0H

ORL TMOD,#01H;定时器0为模式1

MOV TH0,#03CH

MOV TL0,#0B0H;装入初值

SETB ET0;

SETB TR0;

SETB EA;允许中断

LP1:

JBC TF0, LP2

SJMP LP1

LP2:

MOV TH0,#03CH

MOV TL0,#0B0H

LCALL DISPLAY

DJNZ 40H,LP1

LCALL GET1302

MOV 40H,#25

SJMPLP1

MAIN:

JNB P3.0,DATETZ;按下0键时,显示日期并可对日期进行调整

JNB P3.1,ZSTZ1;按下1键时,显示时间,并可调时

JNB P3.2,NLTZ1;按下2键进行闹铃设置

JNB P3.3,DSTZ;按下3键进行定时设置

ACALL DISP;调用显示程序

FMQPD:;判断定时是否到零、闹铃时间是否已到

MOV A,HOUR;

SUBB A,38H;

JZ FEN;判断时是否到闹铃所定时间,若到,则对分进行判断,若不到,则对定时进行判断

AJMP DSPDKQ

FEN:

MOV A,MINUTE;

SUBB A,37H;

JZ SHENGYIN1;时和分都到闹铃时间则蜂鸣器响

DSPDKQ:;判断是否应该进行定时时间的判断

MOV A,3CH;3CH是引入的判断因子,当其为0时不对定时时间是否到0进行判断,从而

不开启蜂鸣器

JNZ DSPD2;当3CH不是0时,跳转到定时判断程序

AJMP MAIN

DSPD2:

MOV A,R4;

JZ S_PD;

AJMP MAIN;

S_PD:

MOV A,R3;

JZ SHENGYIN1;

AJMP MAIN;

DSTZ:

AJMP DSTZ1;

DATETZ:

AJMP DATETZ1;

SHENGYIN1:

MOV 3CH,#1

SETB P0.7

AJMP MAIN

ZSTZ1:

MOV 35H,#60;

MOV 36H,#10;

ZSTZ2:

ACALL DISP;

DJNZ 35H,ZSTZ2;

JNB P3.0,DATETZ;按下0键时,显示日期并可对日期进行调整JNB P3.1,ZSTZ1;按下1键时,显示时间,并可调时

JNB P3.2,NLTZ1;按下2键进行闹铃设置

JNB P3.3,DSTZ;按下3键进行定时设置

JNB P3.4,H_T;

JNB P3.5,M_T;

DJNZ 36H,ZSTZ2;

AJMP MAIN;

H_T:

ACALL DISP;

INC HOUR;

MOV A,HOUR;

CJNE A,#24,ZSTZ1;

MOV HOUR,#0;

AJMP ZSTZ1;

M_T:

ACALL DISP;

INC MINUTE;

MOV A,MINUTE;

CJNE A,#60,ZSTZ1;

MOV MINUTE,#0;

AJMP ZSTZ1;

NLTZ1:

CLR P0.7;

DEC 38H

MOV 35H,#100;

MOV 36H,#10;

NLTZ2:

ACALL ONE;

ACALL TWO;

DJNZ 35H,NLTZ2;

JNB P3.0,DATETZ;按下0键时,显示日期并可对日期进行调整JNB P3.1,ZSTZ1;按下1键时,显示时间,并可调时

JNB P3.2,NLTZ1;按下2键进行闹铃设置

JNB P3.3,DSTZ;按下3键进行定时设置

JNB P3.4,NLXS2;

JNB P3.5,NLXS1;

DJNZ 36H,NLTZ2;

AJMP MAIN;

NLXS1:

MOV DPTR,#LEDTAB; MOV 35H,#100;

MOV 36H,#10;

INC R0;

MOV 37H,R0;

CJNE R0,#60,NLTZ2; MOV R0,#0;

MOV 37H,R0;

AJMP NLTZ2;

ONE:

MOV DPTR,#LEDTAB; MOV A,R0;

MOV B,#10;

DIV AB;

MOVC A,@A+DPTR; MOV P1,A;

CLR MIN_S;

ACALL D_1MS; SETB MIN_S;

MOV A,B;

MOVC A,@A+DPTR; MOV P1,A;

CLR MIN_G;

ACALL D_1MS; SETB MIN_G;

RET;

NLXS2:

MOV DPTR,#LEDTAB; MOV 35H,#60;

MOV 36H,#10;

INC R1;

MOV 38H,R1;

CJNE R1,#24,NLTZ2;

MOV R1,#0;

MOV 38H,R1;

AJMP NLTZ2;

TWO:

MOV DPTR,#LEDTAB;

MOV A,R1;

MOV B,#10;

DIV AB;

MOVC A,@A+DPTR;

MOV P1,A;

CLR HOU_S;

ACALL D_1MS;

SETB HOU_S;

MOV DPTR,#LEDTAB;

MOV A,B;

MOVC A,@A+DPTR;

MOV P1,A;

CLR HOU_G;

ACALL D_1MS;

SETB HOU_G;

RET;

DSTZ1:

MOV 3CH,#0

CLR P0.7;

MOV 35H,#100;

MOV 36H,#10;

JNB P3.3,DSTZ1;

DSTZ2:

ACALL DS_S;

ACALL DS_M;

DJNZ 35H,DSTZ2;

JNB P3.0,DATETZ3;按下0键时,显示日期并可对日期进行调整

JNB P3.1,ZSTZ;按下1键时,显示时间,并可调时JNB P3.2,NLTZ;按下2键进行闹铃设置

JNB P3.4,DSXS1;

JNB P3.5,DSXS2;

JNB P3.3,DSKS1;

DJNZ 36H,DSTZ2;

AJMP MAIN;

DATETZ3:

AJMP DATETZ

ZSTZ:

AJMP ZSTZ1

NLTZ:

AJMP NLTZ1

DSXS1:

MOV 35H,#100;

MOV 36H,#10;

INC R6;

CJNE R6,#60,DSTZ2;

MOV R6,#0;

AJMP DSTZ2;

DS_M:;

MOV DPTR,#LEDTAB;

MOV A,R6;

MOV B,#10;

DIV AB;

MOVC A,@A+DPTR;

MOV P1,A;

CLR MIN_S;

ACALL D_1MS;

SETB MIN_S;

MOV A,B;

MOVC A,@A+DPTR;

MOV P1,A;

CLR MIN_G;

ACALL D_1MS; SETB MIN_G;

RET;

DSXS2:

MOV 35H,#100;

MOV 36H,#10;

INC R5;

CJNE R5,#60,DSTZ2; MOV R5,#0;

AJMP DSTZ2;

DS_S:

MOV DPTR,#LEDTAB; MOV A,R5;

MOV B,#10;

DIV AB;

MOVC A,@A+DPTR; MOV P1,A;

CLR SEC_S;

ACALL D_1MS; SETB SEC_S;

MOV A,B;

MOVC A,@A+DPTR; MOV P1,A;

CLR SEC_G;

ACALL D_1MS; SETB SEC_G;

RET;

DSKS1:

MOV 3CH,#1

MOV A,R5;

MOV R3,A;

MOV A,R6;

MOV R4,A;

DSKS2:

MOV DPTR,#LEDTAB; MOV A,R3;

MOV B,#10;

DIV AB;

MOVC A,@A+DPTR; MOV P1,A;

CLR SEC_S;

ACALL D_1MS; SETB SEC_S;

MOV A,B;

MOVC A,@A+DPTR; MOV P1,A;

CLR SEC_G;

ACALL D_1MS; SETB SEC_G;

MOV A,R4;

MOV B,#10;

DIV AB;

MOVC A,@A+DPTR; MOV P1,A;

CLR MIN_S;

ACALL D_1MS; SETB MIN_S;

MOV A,B;

MOVC A,@A+DPTR; MOV P1,A;

CLR MIN_G;

ACALL D_1MS; SETB MIN_G;

JNB P3.0,MAIN2;

JNB P3.1,MAIN2; DSPD:;

MOV A,R4;

JZ PANDUAN_S;

AJMP DSKS2;

PANDUAN_S:;

MOV A,R3;

JZ SHENGYIN;

AJMP DSKS2;

MAIN2:

LJMP MAIN;

SHENGYIN:

MOV 3CH,#1

SETB P0.7

AJMP MAIN

RETI_11:;由于程序较长,此处加一个返回程序,避免跳转距离过长POP PSW;

POP ACC;

RETI;

;中断服务程序

TIMER0:

PUSH ACC;

PUSH PSW;

MOV A,#0B0H;

ADD A,TL0;

MOV TL0,A;

MOV TH0,#03CH;

DJNZ TIM_1,RETI_11;

MOV TIM_1,#10;

DJNZ TIM_2,RETI_11;

MOV TIM_2,#2;

INC SECOND;

MOV A,SECOND;

CJNE R3,#0,RETI_2;

MOV R3,#60;

DEC R4;

RETI_2:

DEC R3;

CJNE A,#60,RETI_11;

MOV SECOND,#0;

INC MINUTE;

MOV A,MINUTE;

RETI_3:

CJNE A,#60,RETI_11;

MOV MINUTE,#0;

MOV SECOND,#0;

INC HOUR;

MOV A,HOUR;

CJNE A,#24,RETI_11;

MOV HOUR,#0;

MOV MINUTE,#0;

MOV SECOND,#0;

T_YEARPD:

MOV A,YEAR;

MOV B,#100;

DIV AB;

MOV A,B;

JZ T_YEARPD2;看年份是否能被100整除,若能,再进行额外判断MOV A,YEAR;不能被100整除,则判断是否能被4整除

MOV B,#4;

DIV AB;

MOV A,B;

JZ T_RUNYEAR;若年份能被4整除则跳转到闰年部分

T_PINGYEAR:;年份不能被4整除则为平年

MOV A,MONTH;

CJNE A,#2,T_PINGMONTH;若不是2月,则跳转到平时的月份进行判断INC DAY;

MOV A,DAY;

CJNE A,#29,RETI_11;

INC MONTH;

MOV A,MONTH;

CJNE A,#13,RETI_11;

MOV MONTH,#1;

INC YEAR;

AJMP RETI_1;返回

T_YEARPD2:;能被100整除的情况下,的额外判断MOV A,YEAR;

MOV B,#100;

DIV AB;

MOV B,#4

DIV AB

JNZ T_PINGYEAR;若不能被400整除则为平年

T_RUNYEAR:;闰年的情况

MOV A,MONTH;

CJNE A,#2,T_PINGMONTH;看是不是2月

INC DAY;

MOV A,DAY;

CJNE A,#30,RETI_1;

MOV DAY,#1;

INC MONTH;

MOV A,MONTH;

CJNE A,#13,RETI_1;

MOV MONTH,#1;

INC YEAR;

AJMP RETI_1;

T_PINGMONTH:

MOV A,MONTH

ADD A,#2

SUBB A,#3;判断是不是一月

JZ T_DAY31;若是一月调用一个月31天的程序段MOV A,MONTH;

JZ T_DAY31;

MOV A,MONTH;

SUBB A,#4;

JZ T_DAY30;调用一个月30天的程序段

MOV A,MONTH

SUBB A,#5

JZ T_DAY31

MOV A,MONTH

SUBB A,#6

JZ T_DAY30

MOV A,MONTH

SUBB A,#7

JZ T_DAY31

MOV A,MONTH

SUBB A,#8

JZ T_DAY31

MOV A,MONTH

SUBB A,#9

JZ T_DAY30

MOV A,MONTH

SUBB A,#10

JZ T_DAY31

MOV A,MONTH

SUBB A,#11

JZ T_DAY30

MOV A,MONTH

SUBB A,#12

JZ T_DAY31

RETI_1:

POP PSW

POP ACC

RETI;中断返回

T_DAY31:;当一个月为31天时的日期计算方法

单片机电子表课程设计

烟台南山学院 《单片机原理与接口技术》课程设计 题目:数字电子表设计 学院(系):自动化工程学院 年级专业:电气技术4班 学号: 200902040442 学生姓名:毕天华 指导教师:田敬成

课程设计任务书 学生姓名:毕天华专业班级:电气技术4班 指导教师:田敬成工作单位:自动化工程学院 题目: 基于51单片机的数字电子表的设计 初始条件: 1.运用所学的单片机原理与接口技术知识和数字电路知识; 2.51单片机应用开发系统一套; 3.PC机及相关应用软件; 要求完成的主要任务: 1.完成数字电子表的设计和调试。 2.要求采用四位八段数码管显示时间和日期,并且可以用按键修改时间和 日期。 3.撰写课程设计说明书。 4.课程设计说明书要求:引言、设计要求、系统结构、原理设计、各个模 块的设计与实现、软件设计、调试过程、收获、体会及总结、参考文献、电路图和源程序。说明书使用A4打印纸计算机打印或手写,用Protel 等绘图软件绘制电子线路图纸。 时间安排: 第1天下达课程设计任务书和日程安排,根据任务书查找资料; 第2~3天完成方案论证,单片机系统的设计; 第4~6天参考有关文献,完成程序的编写; 第7~10天调试硬件系统和软件程序; 第11~12天结果分析整理、撰写课程设计报告,验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

基于单片机数字电子表的设计 摘要 随着电子技术的发展,计算机在现代科学技术的发展中起着越来越重要的作用。多媒体技术、网络技术、智能信息处理技术、自适用控制技术、数据挖掘与处理技术等都离不开计算机。本课程设计是基单片机原理与接口技术的简单应用。运用所学的单片机原理和接口技术知识完成数字电子表的设计。 电子表已成为人们日常生活中必不可少的必需品,广泛用于个人家庭以及办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使电子表具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。尽管目前市场上已有现成的电子表集成电路芯片出售,价格便宜、使用也方便,但鉴于电子表电路的基本组成包含了数字电路的主要组成部分,因此进行电子表的设计是必要的,用C语言设计电子表显示程序,要求根据输入程序显示电子表画面。研究电子表及扩大其应用,有着非常现实的意义。 关键词 LED,定时/计器数,C语言,调试,运行。

单相数字电能表简介 ,民熔

单相数字电能表简介民熔 本实用新型公开了一种单相数字式电能表的结构。包括电表的上盖、底壳、接线盒盖、电能表刻度盘、指针、液晶模块和步进电机等,其要点是:在电表的上盖嵌入一个计量范围从0至1(KWh)的圆形电能表刻度盘,刻度盘的中央有一根指针,刻度盘的下方有一个液晶模块,液晶模块上有一个“总耗电量”和一个圆形的“运行指示”显示窗口,用电时,由专用芯片控制的微型步进电机带动指针,在电能表刻度盘上偏转以指示消耗的电能值,并将耗电数据累加到LCD上的总耗电量窗口,本实用新型采用指针加电能表刻度盘与LCD相结合的显示方式,具有寿命长、测量精度高、功耗低、指示直观等优点。CN 201666903 U 单相电表单相数字电能表 技术领域 [0001] 本实用新型涉及一种单相电能表,尤其是涉及一种指针加刻度盘指示与LCD数字显示相结合的单相数字式电能表。 背景技术 [0002] 电能表是一种专门用于计量电能量的电气仪表,它记录的电量是结算电费的依据。现有的电能表主要分成两大类:一是传统的机械电度表(简称感应表或机械表),二是电子式电能表,以上两大类电能表对电能消耗的指示方式基本上是以下三种形式: [0003] 1.机械感应式电能表的显示方式是机械计数器码盘加铝盘转动快慢来显示电能消耗。[0004] 2.电子式电能表的显示方式全部采用LCD液晶或者是LED数码管的全数字计量显示模式。 [0005] 3.专利文献ZL200820210846.8所公开的“指针式单相数字电能表”技术,即对电能消耗数据全部采用数字指针指示的方案。 发明内容

据此,本实用新型提出了一种有别于以上三种显示模式的数字式电能表,主要是 由指针加电能表刻度盘与液晶LCD相结合而成的显示界面,即用LCD上的数字显示总耗电量,通过一个可在刻度表盘上快速移动的指针,来显示当前的耗电量,可取代机械式电能表的铝质表盘在用电时转动的快慢,达到形象直观,一目了然的效果,而且比机械式电能表更节能省电,这种仪表的结构和特点是: [0007] 包括上盖、底壳、接线盒盖、数字电能表盘、指针、液晶模块、微型步进电机和专用控制芯片等,其主要特征是,在电表上盖上的一个突出的上凸台阶上嵌入一个圆形的电能表刻度盘,刻度盘的下方有一个液晶模块,液晶模块上有一个“总耗电量”的数字显示窗口,圆弧形的刻度盘均匀分成100等分,设计成一个计量范围从0~1千瓦小时(kWh)的刻度,且标有数字,其最小刻度分辨率为0.01(kWh),表盘的中心位置有一根指针,由专用核心芯片控制的步进电机带动其刻度指针,在数字刻度盘上指示当前消耗的电能值,其指针在刻度盘上偏转移动的快慢可直观显示电能消耗情况,每消耗0.01(kWh),指针(9)顺时针偏转一小格,如果指针每移动10小格,相当于消耗0.1(kWh),液晶模块上的“总耗电量”统计窗口内的数据将自动累加0.1(kWh),由于指针不断地在电能表的数字刻度盘上偏转移动,移动的快慢与负载的有功功率成正比,因此完全可以取代机械式电能表上的铝质表盘转动的情形,相比之下,铝质表盘转动的快慢在感观上只有定性的了解,而指针在电能表的数字刻度盘的偏转移动既直观,又有定量的数据,满足人们观察习惯,这种将指针指示和数字显示结合起来的电能表,非常直观明了。重要的是,本实用新型将现行电能表标准的显示分辨率0.1(kWh)提高到0.01(kWh),产生了意想不到的效果,用户随时可以通过观察指针的细微移动来确定家用电器的耗电情况,或者确定用电线路是否存在漏电、偷电等情况,并且通过[0006] 单相电表单相数字电能表

高精度多功能标准电能表设计方法

*高精度多功能标准电能表设计 摘要:介绍一种高精度、多功能三相标准电能表的设计方法。采用硬件锁相环电路,控制单片6通道16位高速并行A/D转换器ADS8364实现对三相电压、三相电流同步整周期均匀采样。TMS320F2812 DSP 对采集的三相电压、电流数据进行运算和处理,并用软件方法产生高低频标准电能脉冲。给出了系统总体结构、模拟通道设计、倍频锁相电路、数据采集电路、各种电参数测量算法及系统软硬件抗干扰措施。主要指标的准确度等级优于0.05级。其设计方法对于高精度电力测量仪表的研制具有实际参考价值。 关键词:标准电能表;同步采样;锁相环;ADS8364;TMS320F2812 Design of High-precision Multi-functional Reference Energy Meter Abstract: Design method of high-precision, multi-functional three-phase reference energy meter is introduced. A hardware phase-locked loop circuit is used to control 6 channels 16 bits high-speed parallel interface converter ADS8364, realize the function of synchronous and full period collection of three-phase voltage and current. TMS320F2812 DSP processes and analyzes the acquired voltage and current data and generates standard electric energy pulses using software. The system hardware structure, analog channels circuits, data acquisition circuits, measurement algorithms of electric parameters and anti-jamming methods are given. Measuring accuracy grades of main parameters excelled 0.05%. Its design method has practical reference values for high-precision electrical measuring equipments. Keywords: Reference energy meter; Synchronous sampling; Phase-locked loop; ADS8364; TMS320F2812 0 引言 课题背景 电力是国家经济发展的命脉,随着工业技术的不断进步,对电力的需要越来越大,电力部门需要及时、准确的对供、用电量进行计量并监测电网的相关参数以便采取相应的措施保证整个电网的安全和稳定,电能表是必不可少的监测设备。传统的机械感应式电能表具有寿命较长的优点,但由于机械机构,计度器和转子摩擦造成启动电流大,精度较低等缺点不能克服,更不能实现复费率功能。电子式电能表是国外在70年代发展起来的一种替代传统机械式电能表的新产品,它和传统的机械式电能表相比有许多优点:低功耗,宽量程,高精度,高可靠性,体积小,防窃电等优点,还具有多功能计量、数据分析、数据通信等功能,电子式电能表己逐渐取代传统的机械式电能表。我国的电子式电能表是90年代初期开始研制生产的,早期的计量电路主要采用上海贝岭的BL0932,原理是:电压电流两路信号进行模拟相乘后送低通滤波,再经过V/F变换成一个输出频率和被测功率成正比的脉冲信号,经过分频得到代表一定当量的电能脉冲,然后驱动电子式步进电机进行电能计量。但由于电能计量采用的是模拟技术,受到芯片制造工艺的限制,电路的可靠性和温度稳定性较差,整表调试比较困难,性能扩充差。随着电子技术的发展,高精度的ADC和高速的微处理器的产生,使电子式电能表进入快速发展阶段,电子式电能表产生了两种硬件结构,其一是采用ADC+专用DSP构成专用电能计量电路,其原理是:电流、电压两路信号经过放大后进行A/D转换,转换后的数据经过数字乘法器相乘得到功率数据,再转换成正比于功率信号的脉冲,经过分频,驱动步进电机进行计量。由于采用数字技术,使得电路的稳定性和一致性比模拟乘法技术有了很大的提高。应用该方案,开发的周期可以大大缩短。另外一种是采用单片式ADC加可编程DSP单元或微处理器的计量方式,这种计量方式的原理是电流电压两路采用信号隔离放大后进入单片ADC,转换后的数字信号送到微处理器,电表设计者需要编写程序对信号进行处理,其优点是可以满足不断变化的用户需求,但这

基于51单片机的电子时钟的设计

目录 0 前言 (1) 1 总体方案设计 (2) 2 硬件电路设计 (2) 3 软件设计 (5) 4 调试分析及说明 (7) 5 结论 (9) 参考文献 (9) 课设体会 (10) 附录1 电路原理 (12) 附录2 程序清单 (13)

电子时钟的设计 许山沈阳航空航天大学自动化学院 摘要:传统的数字电子时钟采用了较多的分立元器件,不仅占用了很大的空间而且利用率也比很低,随着系统设计复杂度的不断提高,用传统时钟系统设计方法很难满足设计需求。 单片机是集CPU、RAM、ROM、定时器/计数器和多种接口于一体的微控制器。它体积小、成本低、功能强,广泛应用于智能产品和工业自动化上。而51系列的单片机是各单片机中最为典型和最有代表性的一种。,本次设计提出了系统总体设计方案,并设计了各部分硬件模块和软件流程,在用C语言设计了具体软件程序后,将各个模块完全编译通过过后,结果证明了该设计系统的可行性。该设计给出了以AT89C2051为核心,利用单片机的运算和控制功能,并采用系统化LED显示模块实时显示数字的设计方案,适当地解决了实际生产和日常生活中对计时高精确度的要求,因此该设计在现代社会中具有广泛的应用性。 关键字:AT89C2051,C语言程序,电子钟。 0前言 利用51单片机开发电子时钟,实现时间显示、调整和闹铃功能。具体要求如下: (1)按以上要求制定设计方案,并绘制出系统工作框图; (2)按要求设计部分外围电路,并与单片机仿真器、单片机实验箱、电源等正确可靠的连接,给出电路原理图; (3)用仿真器及单片机实验箱进行程序设计与调试;

(4)利用键盘输入调整秒、分和小时时刻,数码管显示时间; (5)实现闹钟功能,在设定的时间给出声音提示。 1总体方案设计 该电子时钟由89C51,BUTTON,1602 LCD液晶屏等构成,采用晶振电路作为驱动电路,利用单片机内部定时计数器0通过软件扩展产生的一秒定时,达到时分秒的计时,六十秒为一分钟,六十分钟为一小时,满二十四小时为一天。闹钟和时钟的时分秒的调节是由一个按键控制,而另外一个按键控制时钟和闹钟的时间的调节。 图1 系统结构框图 该电子时钟由STC89C51,BUTTON,1602 LCD液晶屏等构成,采用晶振电路作为驱动电路,晶振电路的晶振频率为12MHZ,使用的定时器/计数器工作方式0,通过软件扩展产生的一秒定时,达到时分秒的计时,60秒为一分钟,60分钟为一小时,24小时为一天,又重00:00:00开始计时。没有按键按键按下时,时钟正常运行,当按下调节时钟按键K1,就会关闭时钟,当按下闹钟按键K3时时钟就会进入设置时间界面,但是时钟不会停止工作,按K2键,,就可以对时钟和闹钟要设置的时间进行调整。 2硬件电路设计

多功能电子钟设计报告

电子技术综合训练 设计报告 题目:多功能电子钟设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

数字电表原理及万用表设计

DH6505A数字电表原理及万用表 设计 (实验指导书) 实 验

DH6505A数字电表原理及万用表设计 使用说明书 数字电表以它显示直观、准确度高、分辨率强、功能完善、性能稳定、体积小易于携 带等特点在科学研究、工业现场和生产生活中得到了广泛应用。数字电表工作原理简单,完全可以让同学们理解并利用这一工具来设计对电流、电压、电阻、压力、温度等物理量的测量,从而提高大家的动手能力和解决问题能力。 [实验目的] 1、了解数字电表的基本原理及常用双积分模数转换芯片外围参数的选取原则、电表的 校准原则以及测量误差来源。 2、了解万用表的特性、组成和工作原理。 3、掌握分压、分流电路的原理以及设计对电压、电流和电阻的多量程测量。 4、了解交流电压、三极管和二极管相关参数的测量。 5、通过数字电表原理的学习,能够在传感器设计中灵活应用数字电表。 [实验仪器] 1、D H6505A数字电表原理及万用表设计实验仪。 2、四位半通用数字万用表。(自备) 3、示波器。(自备) 4、Z X25a电阻箱。(自备) [实验原理] 一、数字电表原理 常见的物理量都是幅值大小连续变化的所谓模拟量,指针式仪表可以直接对模拟电压 和电流进行显示。而对数字式仪表,需要把模拟电信号(通常是电压信号)转换成数字信号,再进行显示和处理。 数字信号与模拟信号不同,其幅值大小是不连续的,就是说数字信号的大小只能是某 些分立的数值,所以需要进行量化处理。若最小量化单位为.■:,则数字信号的大小是■ ■:的 整数倍,该整数可以用二进制码表示。设.:=0.1 mV,我们把被测电压U与厶比较,看U 是厶的多少倍,并把结果四舍五入取为整数N (二进制)。一般情况下,N > 1000即可满足测量精度要求(量化误差w 1/1000=0.1%)。所以,最常见的数字表头的最大示数为1999, 被称为三位半(3 1/2)数字表。如:U是厶(0.1 mV)的1861倍,即N=1861,显示结果为186.1(mV)。这样的数字表头,再加上电压极性判别显示电路和小数点选择位,就可以测量显示-199.9?199.9 mV的电压,显示精度为0.1mV。 1、双积分模数转换器(ICL7107 )的基本工作原理

多功能数字电子表总结报告

电子技术综合设计 总结报告 设计题目:多功能电子表 组长姓名:王家琪学号: 16115746 专业与班级:孙越崎学院越崎专业11级-3班 姓名:李建学号: 16115806 专业与班级:孙越崎学院越崎专业11级-3班 姓名:董向辉学号: 09114090 专业与班级:孙越崎学院越崎专业11级-1班 时间: 2013 ~ 2014 学年第(1)学期 指导教师:成绩:日期:2013.12.17

一、设计任务与要求: 设计任务:多功能数字电子表 基本要求:计时功能:显示时、分、秒,定闹功能,秒表功能,倒计时功能。 提高要求:增加“语音报时”功能,增加“电子日历”功能。 二、方案比较 方案一: 按照系统设计的功能的要求,初步确定系统由主控模块、时钟模块、显示模块各键盘接口模块、功能指示模块共5 个模块组成,电路系统构成框图如图1所示。主控芯片使用52 系列STC89C52RC 单片机,时钟芯片使用美国DALLAS 公司推出的一款高性能、低功耗、带RAM 的实时时钟DS1302。采用DS1302 作为计时芯片,可以做到计时准确。更重要的是,DS1302 可以在很小电流的后备电源(2.5V--5.5V 电源,在2。5V 时耗电小于300nA)下继续计时,而且DS1302 可以编程选择多种充电电流来对后备电源进行慢速充电,可以保证后备电源基本功不耗电。显示模块采用普通的共阳LED 数码管,键输入采用查询法实现功能调整。

按照系统设计的要求和功能,将系统分为主控模块、时钟电路模块、按键扫描模块,LCD 显示模块,电源电路、复位电路、晶振电路几个模块,系统框图如图2 所示。主控模块采用STC89C52RC 单片机,按键模块用四个按键,用于调整时间和设定闹钟,显示模块采用LCD1602,时钟电路模块采用DS1302 实时时钟实现对时间,日期的操作。 方案三: 按照系统设计的要求和功能,将系统分为主控制器模块、显示模块、按键开 关模块、蜂鸣器电路模块。系统框图如图3 所示,主控制模块采用STC89C52RC 单片机为控制中心,显示模块采用液晶LCD1602 显示,计时使用STC89C52RC 单 片机自带的定时器功能,实现对时间、日期的操作,通过按键盘开关实现对时间、 日期的调整。 图3 基于STC89C52RC 单片机的数字钟总体设计框图

电子时钟系统设计

《嵌入式系统》课程设计说明书 电子时钟系统 院部: 学生姓名: 指导教师:职称 专业: 班级: 学号:

湖南工学院嵌入式系统课程设计课题任务书 2.显示的时间为开发板当前的系统时间,显示的结果随着系统时间变化而变 I

摘要 嵌入式操作系统是一种支持嵌入式系统应用的操作系统软件,它是嵌入式系统( 包括硬、软件系统) 极为重要的组成部分,通常包括与硬件相关的底层驱动软件、系统内核、设备驱动接口、通信协议、图形界面、标准化浏览器等Browser 。嵌入式操作系统具有通用操作系统的基本特点,如能够有效管理越来越复杂的系统资源;能够把硬件虚拟化,使得开发人员从繁忙的驱动程序移植和维护中解脱出来;能够提供库函数、驱动程序、工具集以及应用程序。与通用操作系统相比较,嵌入式操作系统在系统实时高效性、硬件的相关依赖性、软件固态化以及应用的专用性等方面具有较为突出的特点。嵌入式技术已成为信息产业中发展最快、应用最广的计算机技术之一,并被广泛应用于网络通信、消费电子、医疗电子、工业控制和交通系统等领域。 本次设计采用QT程序开发框架开发的模拟时钟程序,使用Linux系统到嵌入式终端移植和交叉编译环境搭建,最终成功实现了在嵌入式终端的运行。 关键词:嵌入式系统;QT;模拟时钟;Linux系统

目录 1绪论 (1) 1.1 设计背景 (2) 1.2 设计目的和意义 (2) 2 嵌入式Linux系统 (2) 2.1 嵌入式Linux概念 (2) 2.2 嵌入式Linux组成 (2) 3 Qt工具 (3) 3.1 Qt简介 (3) 3.2 Qt优点 (3) 4 模拟时钟的设计 (4) 4.1 代码的编写 (4) 4.2 代码的调试与运行 (4) 5 模拟时钟到开发板的下载 (6) 5.1 交叉编译环境的构建 (7) 5.2 模拟时钟到开发板的下载运行 (7) 结论 (10) 参考文献 (11) 致谢 (12) 附录 (13)

数字电压电流表报告

摘要 本设计以单片机STC12C5A32S2作为系统控制核心,单片机通过其内部AD 对系统输入输出的电压电流进行实时采样,再经模数转换将模拟量变为对应的数字量,之后可以利用单片机内部程序进行运算就可以得到结果,系统以1602字符型液晶作为输出器件,显示采样所得的输入输出的电压电流。经测试,作品均达到设计要求。 关键字:数字电压电流表;AD转换;实时测量

1 系统设计方案 1.1方案设计及比较 实现数字电压电流表的设计方案主要内容包括采样数据的A/D 转换,数字相乘和数字滤波三部分。因此,电功率表的设计可分为以下几个方案。 方案一:A/D 转换器和单片机 众所周知单片机内部有中央处理器(运算器、控制器和寄存器)和存储器,因此可进行数字相乘和滤波运算,并且有些单片机自带A/D 转换器,可以大大减少设计的难度。只需电压电流采集并输送到单片机内部进行数据处理就可以得出功率设计。其原理框图如图1.1所示。 图1.1 单片机实现电压电流表方案 通过直接采样电压和和通过采样电流直接输送到STC12C5A32S2单片机内部进行A/D 转换为全数字信号,然后用STC12C5A32S2单片机编程实现数字相乘和滤波,从而得到数字化功率信息,并产生系统所需控制信号,完成整个系统的功能。该方案设计简单,成本较低,可实现设计所须的最低要求。 方案二:专用电能计量芯片和单片机 随着电子电表的快速发展,目前市场上出现了很多种专用电能计量芯片众多的电能计量芯片基本原理和基本功能是一样的,都含有A/D 转换,数字相乘和滤波部分,且均有电流和电压有效值等更多电能参数。利用这类专用电能计量芯片和STC12C5A32S2单片机配合使用,可以很方便地开发出一些应用系统。图 1.2便是这类方案的原理框图。 图1.2 专用电能计量芯片和单片机实现电压电流表方案 由图1.2可知,负载的电压和电流传感器产生的可识别电压信号,然后用单片机对此脉冲进行计量,产生系统所需控制信号,完成整个系统的功能。 方案三:MSP430FE42x 系列 微控制器(MCU)-MSP430FE42x 系列是TI 公司生产的用于电子式电能仪表的片上系统,它具有完全可编程的通信功能,完全能满足从事开发诸如测量值自动读取(AMR)、智能卡预付和多费率计费等具有复杂功能的电子式电能仪表制造的需要。它具有高性能的16-位RISC 结构指令的CPU ,可实现实时信号处理和

基于51单片机的电子表设计

1 绪论 电子表是20世纪50年代才开始出现的新型计时器。最早的一款电子表被称做“摆轮游丝电子表”,它诞生于1955年。这种手表用电磁摆轮代替发条驱动,以摆轮游丝作为振荡器,微型电池为能源,通过电子线路驱动摆轮工作。它的走时部分与机械手表完全相同,被称为第一代电子手表。1960年,美国布洛瓦公司最早开始出售“音叉电子手表”。这种手表以金属音叉作为振荡器,用电子线路输出脉冲电流,使机械音叉振动。它比摆轮式电子手表结构简单,走时更精确,被称为第二代电子手表。1969年,日本精工舍公司推出了世界上最早的石英电子表。石英电子表的出现,立刻成为了钟表界主流产品,它走时精确,结构简单,轻松地将一、二代电子表,甚至机械表淘汰出局。石英表又称“水晶振动式电子表”,因为它是利用水晶片的“发振现象”来计时的。当水晶受到外部的加力电压,就会产生变形和伸缩反应;如果压缩水晶,便会使水晶两端产生电力。这样的性质在很多结晶体上也可见到,称为“压电效果”。石英表就是利用周期性持续“发振”的水晶,为我们带来准确的时间。 单片机是一种集成电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的微型计算机系统,在工业控制领域的广泛应用。从上世纪80年代,由当时的4位、8为单片机,发展到现在的32位300M的高速单片机。 1.1 单片机的介绍 单片微型计算机简称单片机,是典型的嵌入式微控制器(Microcontroller Unit),常用英文字母的缩写MCU表示单片机,单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。相当于一个微型的计算机,和计算机相比,单片机只缺少了I/O设备。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提

基于单片机的数字电能表设计

学号: 密级: 本科毕业论文基于单片机的数字电能表设计 院系名称: 专业名称:电气工程及其自动化 学生姓名: 指导老师:

郑重声明 本人呈交的学位论文是由本人在导师的精心指导下,独立学习、研究、设计出来的成果,论文中的所有文字、数据、图片资料、表格真实可靠,都由本人亲自撰写。据本人所知,论文中除文中已经注明引用的内容外,不包含他人享有著作权的内容。对本论文所涉及的研究工作做出贡献的其他个人和集体,都已经在文中以明确的方式标明。本学位论文的知识产权归属于培养单位。 本人签名: 日期:

摘要 随着电力需求的急骤上升,电能表作为计量电量的主要工具,设计出功能 更多、准确度更高的电能表对于节约用电有极其重要的意义。本文采用单片机作为主控芯片,该电能表具有精度高、准确等优点,有很好的实用开发价值。 数字电能表的诞生打破了传统电子测量仪器的模式和格局。它显示清晰直观、读数准确,采用了先进的数显技术,大大地减少了因人为因素所造成的测量误差事件。数字电能表是把连续的模拟量转换成不连续、离散的数字形式,并加以显示的仪表。数字电能表把电子技术、计算技术、自动化技术的成果与精密电测量技术密切的结合在一起,成为仪器、仪表领域中独立而完整的一个分支,数字电能表标志着电子仪器领域的一场革命,也开创了现代电子测量技术的先河。 本设计以单片机为开发平台,控制系统采用AT89C51单片机,A/D转换采用ADC0832。系统除能确保实现要求的功能外,还可以方便进行8路其它A/D转换量的测量、远程测量结果传送等扩展功能。简易数字电能测量电路由A/D转换、数据处理、显示控制等组成。 关键词:数字电能表;AT89C51;硬件合成

三相电子式多功能电能表使用说明书

DTSD1277型 DSSD1277-B型 三相电子式多功能电能表 使用说明书安装、使用产品前请阅读使用说明书石家庄科林自动化有限公司

目录 1概述..................................................................... 错误!未定义书签。2工作原理................................................................. 错误!未定义书签。3技术参数................................................................. 错误!未定义书签。 主要型号.................................................................... 错误!未定义书签。 主要技术参数 ................................................................ 错误!未定义书签。 抄表及全失压电池 ............................................................ 错误!未定义书签。 时钟参数.................................................................... 错误!未定义书签。 电能量脉冲输出 .............................................................. 错误!未定义书签。 多功能测试输出 .............................................................. 错误!未定义书签。 跳闸、报警控制输出 .......................................................... 错误!未定义书签。 尺寸及重量.................................................................. 错误!未定义书签。4电能表主要功能........................................................... 错误!未定义书签。 电能计量功能 ................................................................ 错误!未定义书签。 需量测量功能 ................................................................ 错误!未定义书签。 实时量测量功能 .............................................................. 错误!未定义书签。 时钟、时段、费率及校时功能 .................................................. 错误!未定义书签。 事件记录功能 ................................................................ 错误!未定义书签。 冻结功能.................................................................... 错误!未定义书签。 负荷记录功能 ................................................................ 错误!未定义书签。 停电抄表功能 ................................................................ 错误!未定义书签。5GPRS无线通讯模块(可选)................................................. 错误!未定义书签。 远程及本地灯定义............................................................ 错误!未定义书签。 本地串口线的定义:.......................................................... 错误!未定义书签。 安装SIM卡 ................................................................. 错误!未定义书签。 天线安装 ................................................................... 错误!未定义书签。6面板及显示............................................................... 错误!未定义书签。 面板说明.................................................................... 错误!未定义书签。 液晶显示说明 ................................................................ 错误!未定义书签。7安装及使用............................................................... 错误!未定义书签。 安装图及接线说明 ............................................................ 错误!未定义书签。 使用说明.................................................................... 错误!未定义书签。8GPRS通信模块基本功能..................................................... 错误!未定义书签。 通讯连接 ................................................................... 错误!未定义书签。 无线信道规格和指标.......................................................... 错误!未定义书签。 主动上报功能 ............................................................... 错误!未定义书签。 表计数据查询 ............................................................... 错误!未定义书签。 数据转发 ................................................................... 错误!未定义书签。 远程升级 ................................................................... 错误!未定义书签。

电子跑表的设计

课程名称单片机原理与应用课程设计课题名称电子跑表设计 专业电子信息工程 班级1501 学号03 姓名谭青权 指导老师肖锋 2015年6月*日

报告撰写要求(此页不打印) 课程设计报告是体现课程设计成果的载体,具体要求如下: 1、课程设计报告的基本格式 (1)说明书统一使用word文档打印,A4纸张,页边距设置为:上2cm,下2cm,左2.54cm,右2cm。 (2)正文采用宋体小四,字间距20磅;1级标题采用黑体小三,2级标题采用黑体四号,3级标题采用黑体小四;1和2级标题段落间距为上下0.5行。 (3)图表需统一编号,图标标题采用黑体五号;图标题在图片下方,表格标题在表格上方。 (4)装订顺序为:封面、任务书、报告正文、评分表。 2、课程设计报告的撰写要求 (1)设计报告正文内容为5-6页为宜,主要内容为自己的设计思路、设计步骤、关键性步骤的记录、重要结果的记录以及自己本次课程设计的总结。报告撰写要求思路清晰、结构合理、层次清晰,报告简洁但又要能体现设计过程。 (2)报告中图表要求清晰、规范,图表的尺寸大小适当。 (3)课程设计报告内容(仅供参考):

电气信息学院 课程设计任务书 课题名称电子跑表的设计 姓名谭青权专业电子信息工程班级1501 学号03 指导老师肖锋 课程设计时间2017/12/11-2017/12/22 一、任务及要求 设计任务: 利用单片机为核心设计一个多功能电子表,具有电时钟和跑表功能。用做时钟时,在显示器上显示时、分、秒,用做跑表时,显示范围00时00分00秒-23时59分59秒并具有跑表启动和跑表复位功能键。当按下启动按钮跑表开始计时,按下停止按停止计时,当按下复位按钮跑表回零。 设计要求: 1)确定系统设计方案; 2)进行系统的硬件设计; 3)完成必要的参数计算与元器件选择; 4)完成应用程序设计; 5)应用系统的硬件和软件的调试。 二、进度安排 第一周: 周一:集中布置课程设计任务和相关事宜,查资料确定系统总体方案。 周二~周三:完成硬件设计和电路连接 周四~周日:完成软件设计 第二周: 周一~周三:程序调试 周四~周五:设计报告撰写。周五进行答辩和设计结果检查。 三、参考资料 1、王迎旭等.单片机原理及及应用[M]. 2版.机械工业出版社,2012 2、胡汉才.单片机原理及其接口技术[M].3版.清华大学出版社,2010. 3、戴灿金.51单片机及其C语言程序设计开发实例[M].清华大学出版社,201

数电自主实验——多功能电子表的设计与实现

多功能电子表的设计与实现 ——基于Basys2开发板电路设计及仿真1.实验目的 1.了解有关FPGA的基本知识以及在电路设计的应用; 2.了解并学会利用Verilog HDL硬件开发语言设计特定功能的电路,加深对知识的理解;3.了解Basys2开发板的特点并利用其元件在硬件上实现电路功能; 4.在完成电路设计的过程中积累实际工程开发的经验; 5.培养对于新型实验器材的理解和学习能力; 6.在实验中练习并熟悉有关嵌入式系统开发的过程,为未来的学习打下基础。 2.总体设计方案或技术路线 1.查阅资料,了解Basys2工作相关特点,对于FPGA的开发过程有初步认识; 2.学习Verilog HDL硬件开发语言,阅读相关程序实例加深对于编程语言及模块的理解;3.确定本次试验电子表的功能,编写程序进行实现; 4.对于编写程序进行调试,修改编写过程中出现的语法错误; 5.再对上一步中调试好的程序进行仿真,编写仿真代码,分析输出并进一步修改程序;6.对于仿真好的程序建立ucf文件进行引脚约束及综合,生成bit文件; 7.将bit文件烧写到开发板中,在硬件中实现预定功能; 8.对整个实验过程进行总结,分析输出效果并寻找改进方法。 3.实验电路图 由于本实验的电路设计基本全部由Verilog HDL硬件编程语言完成(具体代码附于报告结尾处),因此,没有具体芯片电路图。 而在仿真软件中,提供了实验电路的RTL级原理图和技术原理图。因此我们可以利用ISE Design Suite 14.7电路设计和仿真软件自动生成实验电路的原理图,具体操作过程为,在编写好程序后,双击鼠标左键选择运行Synthesize - XST对电路进行综合,综合成功后,在其子目录下会有View RTL Schematic和View Technology Schematic两个选项,双击这两个选项即可查看该电路的RTL级原理图和技术原理图(如下图)。 由于电路的搭建主要由代码实现,因此软件提供的主要为电路的输入输出原理图,而非具体的电路图,但对于工程的建立与调试已经足够,也就不需要另画详细的电路图了 RTL级原理图:

多功能数显表

多功能数显表 安科瑞王长幸 多功能数显表是针对电力系统、工矿企业、公共设施、智能大厦的电力监控需求而设计的。它能测量所有的常用电力参数,如三相电流、电压,有功、无功功率,电度、谐波等。由于该电力仪表还具备完善的通信联网功能,所以我们称之为网络电力仪表。它非常适合于实时电力监控系统。 该表具有很高的性能价格比,可以直接取代常规电力变送器及测量仪表。作为一种先进的智能化、数字化的前端采集元件,该系列网络仪表已广泛应用于各种控制系统、SCADA 系统和能源管理系统中。 多功能数显表国外知名品牌有美国电气控制QP系列、法国施耐德PM系列,国内知名品牌有上海ACREL的ACR系列、江苏斯菲尔PD系列、珠海派诺PMAC等。 下面以上海ACREL的ACR220E产品为例,介绍多功能电能表的功能及技术参数。 LED显示、全电参量测量(U、I、P、Q、PF、F);四象限电能计量;2DI+2DO;RS485通讯接口、Modbus协议。 适用环境 l工作温度:-10℃~+45℃ l储存温度:-25℃~+70℃ l相对湿度:≤95%不结露 l海拔高度:≤2500m 电磁兼容 l静电抗干扰实验Ⅲ级(IEC61000-4-2) l辐射抗干扰试验Ⅲ级(IEC61000-4-3) l电快速瞬变脉冲群干扰试验Ⅳ级(IEC61000-4-4) l浪涌抗干扰试验Ⅳ级(IEC61000-4-5) l射频传导干扰试验Ⅲ级(IEC61000-4-6) l电磁场抗干扰试验Ⅲ级(IEC61000-4-8) 功能 l可直接从电流、电压互感器接入信号; l可任意设定PT/CT变比; l仪表显示可滚动设置; l I/O开关量,继电器报警输出,4~20mA模拟量等功能模块化设计; l多块仪表可设置不同地址; l可通讯接入SCADA、PLC系统中;可与业界多种软件通讯(Intouch,Fix,Citec,组态网等); l LED或蓝屏背光LCD显示,可视度高; l方便安装,接线简单,工程量小; l仪表采用专用失电保护电路,在失电情况下,数据保存不丢失,恢复电源后,仪表继续运行; l四象限电能计量,分时计费,最大需量纪录及12个月电能统计。 应用领域 l能源管理系统

多功能数字电压表课程设计

1.设计主要内容及要求; 设计一个多功能数字电压表。 要求:1)硬件电路设计,包括原理图和PCB板图。 2)数字电压表软件设计。 3)要求能够测量并显示直流电压、交流电压,测量范围0.002V---2V。 2.对设计论文撰写内容、格式、字数的要求; (1).课程设计论文是体现和总结课程设计成果的载体,一般不应少于3000字。 (2).学生应撰写的内容为:中文摘要和关键词、目录、正文、参考文献等。课程设计论文的结构及各部分内容要求可参照《沈阳工程学院毕业设计(论文)撰写规范》执行。应做到文理通顺,内容正确完整,书写工整,装订整齐。 (3).论文要求打印,打印时按《沈阳工程学院毕业设计(论文)撰写规范》的要求进行打印。 (4). 课程设计论文装订顺序为:封面、任务书、成绩评审意见表、中文摘要和关键词、目录、正文、参考文献。 3.时间进度安排;

中文摘要 随着微型计算机及微电子技术在测试领域中的广泛应用,仪器仪表在测量原理、准确度、灵敏度、可靠性、多种功能及自动化水平等方面都发生了巨大的变化,逐步形成了完全突破传统概念的新一代仪器——智能仪器。智能化是现代仪器仪表的发展趋势,许多嵌入式系统、电子技术和现场总线领域的新技术被应用于智能仪器仪表的设计,尤其是嵌入式系统的许多新的理念极大地促进了智能仪器仪表技术的发展。 今年来,随着大规模集成电路的发展,有单片A/D转换器构成的数字电压表获得了迅速普及和广泛应用,它是目前在电子测量及维修工作中最常用、最得力的一种工具类数字仪表。数字电压表具有很高的性价比,其主要优点是准确度高、分辨力强测试功能完善、测量速率快、显示直观。 测试仪器的智能化已是现代仪器仪表发展的主流方向。因此学习智能仪器的工作原理、掌握新技术和设计方法无疑是十分重要的。 关键词智能,数字,电压表,仪器仪表

相关主题
文本预览
相关文档 最新文档