当前位置:文档之家› 厦门大学电子技术实验——实验二

厦门大学电子技术实验——实验二

厦门大学电子技术实验——实验二
厦门大学电子技术实验——实验二

电子技术实验

实验报告

实验名称:实验二电路元器件的认识和测量系别:班号:

实验者姓名:学号:

实验日期:年月日

实验报告完成日期:年月日

指导教师意见:

一、实验目的

1.认识电路元、器件的性能和规格,学会正确选用元、器件;

2.掌握电路元、器件的测量方法,了解它们的特性和参数;

3.了解晶体管特性图示仪基本原理和使用方法。

二、实验原理

在电子线路中,电阻、电位器、电容、电感和变压器等称为电路元件;二极管、稳压管、三极管、场效应管、可控硅以及集成电路等称为电路器件。本实验仅对实验室常用的电阻、电容、电感、晶体管等电子元器件作简要介绍。

(一) 电阻器

1.电阻器、电位器的型号命名方法.

2.电阻器的分类:

(1)通用电阻器:功率:0. 1~1 W,阻值1Ω~510MΩ,工作电压<1 kV。

(2)精密电阻器:阻值:1 Ω~ 1 MΩ,精度2%~0.1%,最高达0. 005%。

(3)高阻电阻器:阻值:107~1013

(4)高压电阻器:工作电压为10~100 kΩ

(5)高频电阻器:工作频率高达10 MHz。

3.电阻器、电位器的主要特性指标:

(1)标称阻值:

电阻器表面所标注的阻值为标称阻值。不同精度等级的电阻器,其阻值系列不同,标称阻值是按国家规定的电阻器标称阻值系列选定,通用电阻器、电位器的标称阻值系列见表2。

(2)容许误差:

电阻器、电位器的容许误差指电阻器、电位器的实际阻值对于标称阻值的允许最大误差范围,它标志着电阻器、电位器的阻值精度。表3为精度等级与容许误差关系。

(3)额定功率:

电阻器、电位器通电工作时,本身要发热,若温度过高,则电阻器,电位器将会损坏。在规定的环境温度中允许电阻器、电位器承受的最大功率,即在此功率限度下,电阻器可以长期稳定地工作,不会显著改变其性能,不会损坏的最大功率限度称为额定功率。

4.电阻器的规格标注方法:

由于电阻器表面积的限制,通常电阻器表面只标注电阻器的类别、标称阻值、精度等级和额定功率,对于额定功率小于0.5W的电阻器,一般只标注标称阻值和精度等级,材料类型和功率常从其外观尺寸判断。电阻器的规格标注通常采用文字符号直标法和色标法两种,对于额定功率小于0. 5 W电阻器,目前均采用色标法,色标所代表的意义如表5。

表5色标所代表的数字

色环电阻一般为四环(普通电阻)、五环(精密电阻)两种标法。

四环电阻器:A、B环为有效数字,C环为10n,D环为精密等级。

五环色标电阻器:A、B、C三环为有效数字,D环为10n,E环为精密等级。

5.电阻器的性能测量:

电阻器的主要参数位一般都标注在电阻器一上,电阻器的阻值,在保证测试的精度条件下,可用多种仪器进行测址·也可采用电流表、电压表或比较法。仪器的测量误差应比被测电阻器允许偏差至少小两个等级。对通用电阻器,一般可采用万用表进行测量。若采用机械表测量,应根据阻值大小选择不同量程,并进行调零,使指针尽可能指示在表盘中间;测量时,不能双手接触电阻引线,防止人体电阻与被测电阻并联。若采用数字式万用表,则测量精度要高于万用表。

6使用常识:

电阻器在使用前应采用测量仪器检查其阻值是否与标称值相符。实际使用时在阻值和额定功率不能满足要求时,可采用电阻串、并联方法解决。但应注意,除了计算电阻值是否符合要求外,还要注意每个电阻所承受的功率是否合适,即额定功率要比承受功率大于一倍以上,使用电阻器时,除了不能超过额定功率防止受热损坏外,还应注意不超过最高工作,否则电阻内部会产生火花引起噪声。

电阻器种类繁多,性能各有不同,应用范围也有很大差别。应根据电路不同要求选择不同种类的电阻器。在耐热性、稳定性、可靠性要求较高的电路中应选用金属膜或金属氧化膜电阻;在要求功率大、耐热性好、对无特殊要求的一般电路,可使用线绕电阻;工作频率不高的电路中,可使用碳膜电阻,以降低成本。电阻器在替换时,大功率的电阻可替换小功率的电阻器,金属碳膜电阻可代换碳膜电阻,固定电阻器与半可调电阻器可以相互替换。

(二)电容器

1.电容器的型号命名方法:

2.电容器的分类:

(1)按介质分类:气体介质、无机固体介质、有机固体介质、电解介质。

(2)按结构分类:固体、可变及微调电容器三类。

(3)按用途分类:滤波、隔直流、振荡回路、起动及消火花电容器等。

3.电容器的主要特性指标:

(1)标称容量及容许误差

J=±5% K=±10%

(2)额定工作电压:

额定工作电压指电容器长期连续可靠工作时,极间电压不允许超过的规定电压值,否则电容器就会被击穿损坏。其数值一般以直流电压在电容器上标出。

(3)绝缘电阻:

电容器的绝缘电阻为电容器两端极间的电阻,或称漏电电阻。

(4)频率特性:

电容器的频率特性为电容量与频率变化的关系。为保证电容器工作的稳定性,应将电容器的极限工作频率选择在自身固有谐振频率的1/3至1/2左右。

4.电容器的规格标注方法:

(1)直标法

(2)数码表法

(3)色标法

5.电容器的性能测量:

(1)容量测量

(2)漏电测量

6.使用常识

(1)选择适当的型号

(2)合理选用标称容量及容许误差

(3)额定工作电压的选择

(4)选用绝缘电阻高的电容器

(5)在装配中,影视电容器的标志易于观察到,以便核对。同时应注意不可将电解电容等极性接错,否则会损坏甚至有爆炸的危险。

(三)晶体二极管

1.国产二极管器件型号命名方法:

国产二极管器件的型号由五部分组成,其符号与意义如表9所示

示例说明如下:3(三极管)B(NPN型锗材料)X(低频小功率管)31(序号为31)A (管子规格为A档),它是锗NPN型低频小功率管。

2. 晶体二极管的分类:

(1)整流二极管:用于整流电路,把交流电变为脉动的直流电,要求正向电流大,对结电容无特殊要求,一般频率低于3kHz,其结构多为面接触型。

(2)检波二极管:用于把高频信号中的低频信号检出。要求结电容小,一般最高频率可达400MHz,其结构为点接触型,一般采用锗材料制成。

(3)稳压二极管:用于直流稳压,利用反向击穿电压低的特性稳压,反向击穿为可逆。

(4)开关二极管:用于开关电路、限幅、钳位或检波电路。

(5)变容二极管:用于调谐、振荡、放大自动频率跟踪、温频、倍频及锁相等电路。

(6)阻尼二极管:特殊高频、高压整流二极管,用于电视机行扫描中做阻尼和升压整流。

(7)发光二极管:讲电能转换为光能的半导体器件,用于显示等电路。

3.二极管的主要特性指标:

(1)最大整流电流:在长期工作时,允许通过的最大正向电流。

(2)最高反向工作电压:防止二极管击穿,使用时反向电压极限值。

4.二极管性能测试:

二极管极性及性能好坏的判别可用万用表测量。当万用表旋至档时,两支表笔之间有2.8V的开路电压(红表笔正、黑表笔负)。当PN结正偏时,约有1mA电流通过PN结,此时表头显示为PN结的正向压降。当PN结反向时,反向电流极小,PN结上反向电压仍为2.8V,表头显示为“1”。通过上述两次判断,可得出PN结正偏时红表笔接的管脚为正极。若测量值不在上述范围,说明二极管损坏。

5.使用说明:

二极管在使用时硅管与锗管不能相互代替,同类型管可代替。对于检波二极管,只要工作频率不低于原来的管子即可。对整流器,只要反向耐压和正向电流不低于原来的管子就可替换,其余管子应根据手册参数替换。

(四)晶体三极管

1、三极管的分类

(1)按半导体材料分:锗三极管和硅三极管;一般锗为PN P管,硅为N PN管。

(2)按制作工艺分:扩散管、合金管等。

(3)按功率不同分:小功率、中功率、大功率管。

(4)按工作频率分:低频管、高频管和超高频管。

(5)按用途分:放大管和开关管。

2.三极管主要参数:

(1)共基极小信号电流放大系数(α): 0. 9~0. 995。

(2)共射极小信号交流放大系数(hfe): 10~ 250。

(3)共射极小信号直流放大系数(hFE、β) : 10~ 250。

(4)集电极—基极反向截止电流(ICBO): 锗管为几十u A,硅管为几u A。

(5)集电极—射极反向截止电流(ICEO): ICEO=βCBO.

(6)集电极—基极反向击穿电压(VBR CBO) :几十V~几百V。

(7)集电极—射极反向击穿电压(V BRCEO):几十V~几百V。

(8)发射极—基极反向击穿电压:几V~几十V。

(9)集电极最大允许电流:低频小功率锗、硅管:10~500mA、小于100 mA。

(10)集电极最大允许耗散功率:小功率管小于1W,人功率管人于1W。

3.三极管性能测试

(1)类型判别:即NPN或PNP类型判别。若采用机械表,则利用欧姆档测量正、

反向电阻判别。采用数字万用表的两个表笔对三极管的三个管脚两两相测;若红

表笔任意接三极管一个管脚,而黑表笔依次接触另外两个管脚,表头显示超量程“1”,则该管脚为b极,且该管为NPN, 反之,若测量显示与上述相反,则该管为PNP。

(2)电极判别:e、b、c管脚判别。

三、实验仪器

1.数字万用表(四位半)1台

2.晶体管特性图示仪1台

3.多功能实验箱1台

四、实验内容

1.辨认一组电阻器:辨认所给色标电阻的标称电阻及容许误差,判断其额定功率,并用数字万用表测量进行比较,将所测电阻按从小到大填入下表。

2.辨认一组电容器

3.测量一组半导体器件

用数字万用表测量晶体管参数,填入下表。

4.测量晶体管电流放大倍数

(1).按课本图3在多功能实验箱上搭接电路,经经检查无误后接通电源;

(2).按下表调节电位器Rw,使集电极对公共端电压达到规定值,用电压表测量VA,VB;并计算出IB,IC,并求出放大倍数β。

六、实验总结

七、思考题:

1.能否用双手接触万用表笔测量电阻;

答:不可以,人体会和要测得电阻并联,从而导致测得的电阻值偏小。

2.总结判断晶体管极性、管脚的方法;

答:NPN型:采用数字万用表的两个表笔对三极管的三个管脚两两相测;若红表笔任意接三极管一个管脚,而黑表笔依次接触另外两个管脚,如果表头都显示正的压降,而黑表笔接该管脚,红表笔依次接触另两个管脚,表头显示超量程,则该管脚为b极。再将红表笔接

已确定的b极,黑表笔依次接触另外两个管脚,表头显示压降较大的是e极,较小的是c 极。

PNP型:采用数字万用表的两个表笔对三极管的三个管脚两两相测;若黑表笔任意接三极管一个管脚,而红表笔依次接触另外两个管脚,如果表头都显示正的压降,而红表笔接该管脚,黑表笔依次接触另两个管脚,表头显示超量程,则该管脚为b极。再将黑表笔接已确定的b极,红表笔依次接触另外两个管脚,表头显示压降较大的是e极,较小的是c极。

3.总结判断晶体管好坏的方法。

答:正向压降很小,反向压降很大则正常。

电子技术基础实验报告

电子技术实验报告学号: 2220 姓名:刘娟 专业:教育技术学 实验三单级交流放大器(二) 一、实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: — 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 实验前校准示波器,检查信号源。 按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 调整RP2,使V C=Ec/2(取6~7伏),测试V B、V E、V b1的值,填入表3-1中。 ~ 表3-1 … 输入端接入f=1KHz、V i=20mV 的正弦信号。 分别测出电阻R1两端对地信 号电压V i 及V i ′按下式计算 出输入电阻R i : 测出负载电阻R L开路时的输出电压V∞,和接入R L(2K)时的输出电压V0 , 然后按下 式计算出输出电阻R ; 将测量数据及实验结果填入表3-2中。 V i (mV)Vi′(mV)R i ()V ∞ (V)V (V)R () 调整 R P2测量 V C (V)Ve(V)Vb(V)Vb1(V)

[ 输入信号不变,用示波器观察正常工作时输出电压V o 的波形并描画下来。 逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真的波形描画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i ,或将R b1由100K Ω改为10K Ω,直到出现明显失真波形。) 逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真波形描画下来,并说明是哪种失真。如果R P2=1M 后,仍不出现失真,可以加大输入信号V i ,直到出现明显失真波形。 表 3-3 调节R P2使 输出电压波形不失 真且幅值 为最大(这 时的电压 放大倍数 最大), 测量此时 的静态工作点V c 、V B 、V b1和V O 。 表 3-4 ` 五、实验报告 1、分析输 入电阻 和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc 的值在6-7V 之间,此时使用万用表。接入输入信号1khz 20mv 后,用示波器测试Vi 与Vi ’,记录数据。用公式计算出输入电阻的值。在接入负载RL 和不接入负载时分别用示波器测试Vo 的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工作点过高,波形会出现饱和失真,即正半轴出现失真。 实验四 负反馈放大电路 一、 实验目的 1、熟悉负反馈放大电路性能指标的测试方法。 2、通过实验加深理解负反馈对放大电路性能的影响。 二、实验设备 、 阻值 波 形 何种失真 正常 不失真 R P2减小 饱和失真 R P2增大 ? 截止失真 V b1 (V) V C (V) V B (V) V O (V)

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

电子技术实验

半导体器件的测试实验 实验组号__ __学号姓名 实验日期成绩____ ___指导教师签名 一、实验目的 学会用万用表测试二极管、三极管的性能好坏,管脚排列。 二、实验器材 1.万用表1只(指针式)。 2.二极管、三极管若干。 三、注意事项: 1.选择合适的量程,使万用表指针落在万用表刻度盘中间的位置为佳。 2.测试电阻前应先调零。 3.测量时不要同时用手接触元件的两个引脚。 4.测量完毕时应将万用表的转换开关转向off位置或交流最高电压档。 5.不能用万用表测试工作中的元件电阻! 四、实验内容 1.半导体二极管的测试 ◆半导体二极管的测试要点: 用指针式万用表测二极管的正反向电阻,当测得阻值较小的情况下,黑笔所接的极是二极管的正极。 (1)整流二极管的测试 将万用表置于R?100Ω或R?1kΩ电阻档并调零,测量二极管的正、反向电阻,判断其极性和性能好坏,把测量结果填入表1中。 (2 将万用表置于R?10kΩ电阻档并调零,测量二极管的正、反向电阻,判断其极性和性能好坏,把测量结果填入表2中。 2.半导体三极管的测试 ◆半导体三极管的测试要点: 将万用表置于R?100Ω或R?1kΩ电阻档并调零。 ①首先判基极和管型 ?黑笔固定某一极,红笔分别测另两极,当测得两个阻值均较小时,黑笔所接的极是基

?红笔固定某一极,黑笔分别测另两极,当测得两个阻值均较小时,红笔所接的极是基极,所测的晶体管是PNP管。 ②其次判集电极和发射极 ?对于NPN管:用手捏住基极和假设的集电极(两极不能短接),黑笔接假设的集电极,红笔接假设的发射极,观察所测电阻的大小。然后将刚才假设的集电极和发射极对调位置,再重测一次,当测得电阻值较小时,黑笔所接的是集电极,另一电级是发射极?对于PNP管:用手捏住基极和假设的集电极(两极不能短接),红笔接假设的集电极,黑笔接假设的发射极,观察所测电阻的大小。然后将刚才假设的集电极和发射极对调位置,再重测一次,当测得电阻值较小时,红笔所接的是集电极,另一电级是发射极。(1)将万用表置于R?100Ω或R?1kΩ电阻档并调零,判别三极管的引脚排列、管型和性能好坏,把测量结果填入表3中。 (2)将万用表置于h fe档(×10Ω档并调零),测量三极管的β值,把测量结果填入表4中。 五、实验分析 1.用万用表的R?100Ω或R?1kΩ电阻档测量同一只二极管的正反向电阻值时,测量值为什么不同? 2.为什么不能用R?1Ω或R?10kΩ电阻档测量小功率晶体管?

厦门大学电子技术实验十集成运算放大器构成的电压比较器

实 验 报 告 实验名称:实验十集成运算放大器构成的电压比较器系别:班号:实验组别:实验者姓名: 学号: 实验日期: 实验报告完成日期: 指导教师意见:

目录 二、实验原理 (3) 三、实验仪器 (5) 四、实验内容及数据 (5) 1. 单限电压比较器 (5) 2. 施密特电压比较器 (7) 五、实验总结 (9)

一、实验目的 1、掌握电压比较器的模型及工作原理 2、掌握电压比较器的应用 二、实验原理 电压比较器主要用于信号幅度检测——鉴幅器;根据输入信号幅度决定输出信号为高电平或低电平;或波形变换;将缓慢变化的输入信号转换为边沿陡峭的矩形波信号。常用的电压比较器为:单限电压比较器;施密特电压比较器窗口电压比较器;台阶电压比较器。下面以集成运放为例,说明构成各种电压比较器的原理。 1.集成运算放大器构成的单限电压比较器: 集成运算放大器构成的单限电压比较器电路如图1(a)所示。图1(b)为其电压传输曲线。由于理想集成运放在开环应用时,A V→∞、R i→∞、R o→0;则当V iE R时,V O=V OL;由于输出与输入反相,故称之为反相单限电压比较器;通过改变E R值,即可改变转换电平V T(V T≈E R);当E R=0时,电路称为“过零比较器”。同理,将V i与E R对调连接,则电路为同相单限电压比较器。图1(c)为反相单限电压比较器的应用——波形变换应用。

2. 集成运算放大器构成的施密特电压比较器: 集成运算放大器构成的施密特电压比较器电路如图2(a)所示。图2(b)为其电压传输特性曲线。 当V O =V OH 时,++ +++= =+T R OH T V E R R R V R R R V V ;323322 1称为上触发电平; 当V O =V OL 时,--+++= =+T R OL T V E R R R V R R R V V ;3 23322 2称为下触发电平; 回差电平:- + -=?T T T V V V 当V i 从足够低往上升,若V i >V T+时,则V o 由V OH 翻转为V OL ; 当V i 从足够高往下降,若V i

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

#电力电子技术实验报告答案

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 三、实验线路及原理 锯齿波同步移相触发电路的原理图如图1-11所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见1-3节和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读本教材1-3节及电力电子技术教材中有关锯齿波同步移相 触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围

厦门大学电子技术实验报告_实验五

实验五场效应管放大器 一、实验目的 1. 学习场效应管放大电路设计和调试方法; 2. 掌握场效应管基本放大电路的设计及调整、测试方法。 二、实验原理 1. 场效应管的主要特点 场效应管是一种电压控制器件,由于它的输入阻抗极高(一般可达上百兆、甚至几千兆),动态范围大,热稳定性好,抗辐射能力强,制造工艺简单,便于大规模集成。 因此,场效应管的使用越来越广泛。 场效应管按结构可分为MOS型和结型,按沟道分为N沟道和P沟道器件,按零栅压源、漏通断状态分为增强型和耗尽型器件,可根据需要选用。那么,场效应管由于结构上 的特点源漏极可以互换,为了防止栅极感应电压击穿要求一切测试仪器,都要有良好 接地。 2. 结型场效应管的特性 (1) 转移特性(控制特性):反映了管子工作在饱和区时栅极电压VGS对漏极电流ID 的控制作用。当满足|VDS|>|VGS|-|VP|时,ID对于VGS的关系曲线即为转移特性曲线。如图1所示。由图可知。当VGS=0时的漏极电流即为漏极饱和电流IDSS,也称 为零栅漏电流。使ID=0时所对应的栅极电压,称为夹断电压VGS=VGS(TH)。 ⑵转移特性可用如下近似公式表示: I D=I DSS1? V GS V GS TH 2 (当0≥V GS≥V p) 这样,只要I DSS和V GS TH确定,就可以把转移特性上的其他点估算出来。转移特性的斜率为: g m=ΔI D GS 它反映了VGS对ID的控制能力,是表征场效应管放大作用的重要参数,称为跨异。一般为0.1~5mS(mA/V)。它可以由式1求得:

g m=? 2I DSS GS(TH)?1? V GS GS TH ⑶输出特性(漏极特性)反映了漏源电压VDS对漏极电流ID的控制作用。图2为N 沟道场效应管的典型漏极特性曲线。 由图可见,曲线分为三个区域,即Ⅰ区(可变电阻区),Ⅱ区(饱和区),Ⅲ区(截止区)。饱和区的特点是VDS增加时ID不变(恒流),而VGS变化时,ID随之变化(受控),管子相当于一个受控恒流源。在实际曲线中,对于确定的VGS的增加,ID 有很小的增加。ID对VDS的依赖程度,可以用动态电阻rDS表示为: r DS=ΔV DS ΔI D 在一般情况下,rDS在几千欧到几百欧之间。 ⑶图示仪测试场效应管特性曲线的方法: ①连接方法:将场效应管G、D、S分别插入图示仪测试台的B、C、E。 ②输出特性测试:集电极电源为+10v,功耗限制电阻为1kΩ;X轴置集电极电压1V/度,Y轴置集电极电流0.5mA∕度;与双极型晶体管测试不同为阶梯信号,由于场效应管 为电压控制器件,故阶梯信号应选择阶梯电压,即:阶梯信号:重复、极性:一、阶 梯选择0.2V∕度,则可测出场效应管的输出特性,并从特性曲线求出其参数。 ③转移特性测试:在上述测试的基础上,将X轴置基极电压0.2V∕度,则可测出场效应管的转移特性,并从特性曲线求出其参数。 ⑷场效应管主要参数测试电路设计: ①根据转移特性可知,当VGS=0时,ID=IDSS,故其测试电路如图3所示。②根据 转移特性可知,当ID=0时,VGS=VGS(TH),故其测试电路如图4所示。 3. 自给偏置场效应管放大器 自给偏置N沟道场效应管共源基本放大器如图5所示,该电路与普通双极型晶体管放 大器的偏置不同,它利用漏极电流ID在源极电阻RS上的压降IDRs产生栅极偏压,即: VGSQ=-IDRS 由于N沟道场效应管工作在负压,故此称为自给偏置,同时Rs具有稳定工作点的作用。该电路主要参数为:电压放大倍数:AV=V0/Vi=-gmRL;?=RD‖RL‖rDS式中:RL;输入电阻:Ri≈RG输出电阻:RO=RD‖rDS;

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

厦门大学电子技术实验报告实验三

电子技术实验报告

一、实验原理 1. 数字示波器显示波形原理 示波器是将入的周期性电信号以图像形式展现在显示器上,以便对电信号进行观察和测量的仪器。 示波器显示器是一种电压控制器件,根据电压有无控制屏幕亮灭,并根据电压大小控制光电在屏幕上的位置。 示波器显示屏必须加有幅度随时间线性增长的周期性锯齿波电压,才能让显示屏的光点反复自左端移向右端,屏幕上就出现一条水平光线,成为扫描线或时间基线。为使在显示屏上观察到稳定的波形。必须使锯齿波的周期Tx和被测信号的周期Ty相等或成整数倍关系。即Tx=nTy(n为正整数)。否则,所显示波形将不能同步。 2. 数字存储示波器的原理 数字存储示波器主要由信号调理部分、采集存储部分、触发部分、软件处理部分和其他组成。 3. 双通道数字存储示波器结构框图

4. 示波器的主要技术特性 (1)模拟带宽:由前置放大器的带宽决定; (2)采样速率:由模数转换电路决定; (3)存储深度:由存储器决定; (4)触发部分:由触发电路类型决定。 5. 示波器的使用方法 (1)打开电源开关(Power)30s后,屏幕上有光迹,否则检查有关控制旋钮的位置; (2)将示波器探头接到被测信号,确定触发源选择(Trigger)在所接通道位置;(3)键入相应的通道开关,启动该通道工作; (4)将垂直和水平灵敏度旋钮调到合适的位置,Vp-p/8≤选择Y轴灵敏度;T/10≤选择X轴灵敏度; (5)屏幕上应有被测信号波形; (6)若需要测量信号各点电平,耦合方式应选DC耦合,若只需观测信号幅度,则选AC耦合; (7)调节Y和X位移旋钮将被波形调到便于测量的位置 二、实验步骤与实验数据 1、校验示波器的灵敏度 对于首次接触的示波器,必须对其灵敏度进行校验。方法为:在示波器正常显示状态下,将探头接示波器本身提供的校准方波信号源(demo2端子),采用自动或手动方法观察校准信号,如果测量得到的波形幅度频率与校准信号(f=1kHZ,VPP=2.5V)相同,说明示波器准确,若不同,应记下其误差。 经测量,f=1.0012kHz,V-P-P=2.56V 2、调整测量含有直流电平的信号 若要求信号发生器输出的方波信号(f=1KHz、占空比50%、Vp-p=4V、HV=3V、LV=-1V),则调整测量方法为 (1)令信号发生器输出方波,调整信号频率为1 kHz (2)调整信号幅度为4V,偏移量为1V;或者通过设置高、低电平的方法设置HV=3V、LV=-1V。 (3)连接示波器和信号发生器,令两仪器“COM端”相接,并将示波器探头接信号发生器信号输出端。 (4)示波器设置直流耦合,手动或者自动观测信号发生器的输出信号。分别改变波形输出类型,此时示波器上分别显示下图所示波形。

厦门大学电子技术实验报告_实验十三

实验十三 OTL功率放大器安装和调试 一、实验目的 1. 掌握OTL功率放大器的工作原理及其设计要点; 2. 掌握OTL功率放大器的安装、调整与性能的测试。 二、实验原理 采用PNP和NPN互补晶体管组成的无输出变压器互补推挽功率放大电路,具有频率响应好,非线性失真小,效率高等优点,获得了广泛的应用。 本实验采用的OTL功率放大电路如图1所示,它包括前置放大级B G1,推动级B G2和互补推挽输出级B G3、B G4。 前置放大级为甲类RC耦合电压放大器,在发射极加有电压串联负反馈,以改善音质,提高稳定性。R1为输出音量调节电位器。由于前置级工作在小信号电压放大状态,静态工作电流I C1可取小一些以减少噪音,一般取: I C1≈0.3~0.1mA 1V<V CEQ1≤1/3E C 推动级要提供足够大的激励功率互补推挽功率输出级,所以推动级的静态工作电流应足够大,一般取I C2≥(3~5)I B3MAX 式中I B3MAX为输出功率最大是输出级的基极激励电流。为了提高输出级正向输出幅度,把B G2的集电极负载电阻R8接到放大器的输出端经R L接电源正端,以获得自举的效果。为了克服输出级的交叉失真,在B G3,B G4两管的基极之间接有二极管D和电阻R9组成的偏置电路,其中二极管D同时起偏置的温度补偿作用,电容C5为相位校正电容,以防止产生高频寄生振荡。功率放大器的输出功率为P O=E2C K/8R L(式中:K为电源电压利用系数)。 当K≈1时,输出功率最大,为P OMAX≈E2C/8R L 考虑到晶体管的饱和压降因素,一般取:K≈0.65~0.7. 对该电路的电压增益,考虑到它加有电压串联负反馈,并满足A VO F >>1,所以中频段电压增益为:A V≈1/F=(R12+R6)/R6

电子技术基础实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交 替”和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示 方式,当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描

#电力电子技术实验一、二、三

实验一锯齿波同步触发电路实验 一、实验目的 1、加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 2、掌握锯齿波同步移相触发电路的调试方法。 二、实验主要仪器与设备: 三、实验原理 锯齿波同步移相触发电路的原理图如图1-1所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见电力电子技术教材中的相关内容。 图1-1 锯齿波同步移相触发电路原理图 图1-1中,由V3、VD1、VD2、C1等元件组成同步检测环节,其作用是利用同步电压U T来控制锯齿波产生的时刻及锯齿波的宽度。由V1、V2等元件组成的恒流源电路,当V3截止时,恒流源对C2充电形成锯齿波;当V3导通时,电容C2通过R4、V3放电。调节电位器RP1可以调节恒流源的电流大小,从而改变了锯齿波的斜率。控制电压U ct、偏移电压U b 和锯齿波电压在V5基极综合叠加,从而构成移相控制环节,RP2、RP3分别调节控制电压U ct和偏移电压U b的大小。V6、V7构成脉冲形成放大环节,C5为强触发电容改善脉冲的前

沿,由脉冲变压器输出触发脉冲,电路的各点电压波形如图1-2所示。 本装置有两路锯齿波同步移相触发电路,I和II,在电路上完全一样,只是锯齿波触发电路II输出的触发脉冲相位与I恰好互差180°,供单相整流及逆变实验用。 电位器RP1、RP2、RP3均已安装在挂箱的面板上,同步变压器副边已在挂箱内部接好,所有的测试信号都在面板上引出。 图1-2 锯齿波同步移相触发电路各点电压波形(α=90°) 四、实验内容及步骤

1、实验内容: (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 2、实验步骤: (1) 将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V±10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围 将控制电压U ct调至零(将电位器RP2顺时针旋到底),用示波器观察同步电压信号和“6”点U6的波形,调节偏移电压U b(即调RP3电位器),使α=170°,其波形如图1-3所示。 图1-3锯齿波同步移相触发电路 (3)调节U ct(即电位器RP2)使α=60°,观察并记录U1~U6及输出“G、K”脉冲电压的波形,标出其幅值与宽度,并记录在下表中(可在示波器上直接读出,读数时应将示波器的“V/DIV”和“t/DIV”微调旋钮旋到校准位置)。

模拟电子技术基础实验2010-2011第一学期试题(实验)

1.按图接线,调节电位器R P ,使V C =6.4V 左右。输入f=1KHz 的正弦波信号, 幅度以保证输出波形不失真为准。分别测量不接负载时和负载电阻为5.1K Ω时的V i 和V 0,计算电压放大倍数,得出负载电阻对放大倍数的影响。 1、2、3题图 2.按图接线。(1)调节电位器R P ,使V C =6.4V 左右。测量静态工作时的V C 、V B 、V E 以及其它需要的数值,计算静态工作点。(2)负载电阻取R L =5.1K Ω,输入f=1KHz 的正弦信号, 幅度以保证输出波形不失真为准。测量V i 和V 0,计算电压放大倍数。 3.按图接线,调节电位器R P ,使V C =6.4V 左右。负载电阻取R L =5.1K Ω,输入f=1KHz 的正弦信号, 幅度以保证输出波形不失真为准。测量V i 和V 0。然后把R C 改成2K Ω,再测量V i 和V 0,分别计算电压放大倍数,得出R C 对放大倍数的影响。 4.按图接线。(1) 调节电位器R P ,使电路静态工作点合适,测量静态工作时的V C 、V B 、V E ;(2)输入f=1KHz 的正弦波信号, 幅度以保证输出波形不失真为准。测量V s 、 V i 和V 0,计算电压放大倍数A V 和A VS ,并总结射极输出器的特点。 4、5、6题图 5.按图接线。调节电位器R P ,使电路静态工作点合适,输入f=1KHz 的正弦波信号, 幅度以 Vs Vi

保证输出波形不失真为准。测量V s 、 V i ,计算输入电阻R i (R S =5.1K )。 6.按图接线。调节电位器R P ,使电路静态工作点合适,输入f=1KHz 的正弦波信号, 幅度以保证输出波形不失真为准。测量空载时的输出电压V 0 和加负载时的输出电压V L (R L =2.2K ),计算输出电阻R o 7、按图接线。在输入端输入直流电压,测量对应的输出电压,并与理论值比较,填入表格,并说明运算关系及产生误差的原因。 8、 按图接线。在输入端输入直流电压,测量对应的输出电压,并与理论值比较,填入表格,并说明运算关系及产生误差的原因。 9、按图接线。在输入端输入直流电压,测量对应的输出电压,并与理论值比较,填入表格,并说明运算关系及产生误差的原因。 10、按图接线。在输入端输入直流电压,测量对

厦门大学电子技术实验——实验八

电子技术实验 实验报告 实验名称:实验八集成运算放大器的运用——运算器系别:班号: 实验者姓名:学号: 实验日期:年月日 实验报告完成日期:年月日 指导教师意见:

一、 实验目的 1. 熟悉集成运算放大器的性能和使用方法 2. 掌握集成运放构成基本的模拟信号运算电路 二、 实验原理 集成运算放大器是一种高增益、高输入阻抗、低输出阻抗的直流放大器。若外加反馈网络,便可实现各种不同的电路功能。例如,施加线性负反馈网络,可以实现放大功能,以及加、减、微分、积分等模拟运算功能;施加非线性负反馈网络,可以实现乘、除、对数等模拟运算功能以及其他非线性变换功能。本实验采用TL082型集成运算放大器,其管脚如图1所示。注意:在使用过程中,正、负电源不能接反,输出端不能碰电源,接错将会烧坏集成运算放大器。 1. 反相放大器: 在理想的条件下,反相放大器的闭环电压增益为: 1 R R V V A F i O VF -== 由上式可知:闭环电压增益的大小完全取决于电阻的比值R F /R 1。电阻值的误差, 将是测量误差的主要来源。 当取R F = R 1,则放大器的输出电压等于输入电压的负值,即: i i F O V V R R V -=- =1 。此时反相放大器起反向跟随器的作用。 2. 同相放大器: 在理想条件下,铜线放大器的闭环电压增益为: 1 1R R V V A F i O VF +== 4. 反相加法器:

在理想条件下,输出电压为:??? ? ??+-=2211i F i F O V R R V R R V ,当R 1=R 2时,上式简化为:)(211 i i F O V V R R V +- =。 5. 减法器: 在理想条件下,若R 1=R 2,R F =R 3时,输出电压为:)(121 i i F O V V R R V -= 若R F =R 1,,则V O =V I2-V I1,故此电路又称模拟减法器。 6. 积分器: 输入(待积分)信号加到反相输入端,在理想情况下,如果电容两端的初始电压为零,则输出电压为:?-=2 )(1) (V 1T O t i t O dt C R V 当V i(t)是幅值为E i 的阶跃电压时,t E C R V i t O 1)(1 - = 此时,输出电压V O(t)随时间线性下降。 当V i(t)时峰值振幅为V iP 的矩形波时,V O(t)的波形为三角波。如图8(b)所示,根据上式,输出电压的峰峰值为:2 1T C R V V ip P OP ? ?- =- 在实际实验电路中,通常在积分电容C 的两端并接反馈电阻RF ,其作用是引入直流负反馈,目的是减小运放输出直流漂移。但是RF 的存在对积分器的线性关系有影响,因此,RF 不宜取太小,一般取100K Ω为宜。 三、 实验仪器 1. 示波器一台 2. 函数发生器一台

电子技术基础实验报告

电子技术实验报告 学号: 222014321092015 姓名: 刘 娟 专业: 教育技术学 实验三 单级交流放大器(二) 一、 实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 ● 实验前校准示波器,检查信号源。 ● 按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 ● 调整RP2,使V C =Ec/2(取6~7伏),测试V B 、V E 、V b1的值,填入表3-1中。 表3-1 ● 输入端接入f=1KHz 、V i =20mV 的正弦信号。 ● 分别测出电阻R 1两端对地信号电压V i 及V i ′按下式计算出输入电阻R i : ● 测出负载电阻R L 开路时的输 出电压V ∞ ,和接入R L (2K )时的输出电压V 0 , 然后按下式计算出输出电阻R 0; 将测量数据及实验结果填入表3-2中。 2 中。 ● 输入信号不变,用示波器观察正常工作时输出电压V o 的波形并描画下来。 ● 逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失

真的波形描画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i ,或将R b1由100K Ω改为10K Ω,直到出现明显失真波形。) ● 逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失 真波形描画下来,并说明是哪种失真。如果R P2=1M 后,仍不出现失真,可以加大输入信号V i ,直到出现明显失真波形。 表 3-3 ● 调节R P2使输出电压 波形不失 真且幅值 为最大(这 时的电压放大倍数最大),测量此时的静态工作点V c 、V B 、V b1和V O 。 表 3-4 五、实验报告 1、分析输入电阻和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc 的值在6-7V 之间,此时使用万用表。接入输入信号1khz 20mv 后,用示波器测试Vi 与Vi ’,记录数据。用公式计算出输入电阻的值。在接入负载RL 和不接入负载时分别用示波器测试Vo 的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工作点过高,波形会出现饱和失真,即正半轴出现失真。 实验四 负反馈放大电路 一、 实验目的 1、熟悉负反馈放大电路性能指标的测试方法。 2、通过实验加深理解负反馈对放大电路性能的影响。 二、实验设备 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路,掌握不失真放大电路的调整方法。 2、熟悉两级阻容耦合放大电路静态工作点的调整方法。 3、了解负反馈对放大电路性能的影响。 四、实验电路

相关主题
文本预览
相关文档 最新文档