当前位置:文档之家› 《EDA》实验指导书

《EDA》实验指导书

《EDA》实验指导书
《EDA》实验指导书

辽东学院自编教材

《可编程逻辑器件原理及应用实验》指导书

李海成编

(计算机科学与技术、电子信息工程专业用)

姓名:

学号:

班级:

信息技术学院

2013年6月

目录

目录 (1)

实验一MAX+PLUS-II设计三八译码器............ 错误!未定义书签。实验二半加器 . (2)

实验三带进位输入的8位加法器 (4)

实验四数据比较器 (6)

实验五编码器 (9)

实验六组合逻辑电路的设计 (12)

实验七计数器 (14)

实验八触发器功能的模拟实现 (17)

(被加数)Ai

(被加数)Bi (半加和)Hi

(本位进位)Ci

实验二 半加器

实验类型: 验证性

实验课时: 2

指导教师: 李海成 时 间:201 年 月 日 课 次:第 节

教学周次:第 周

实验分室: 实验台号: 实 验 员:

一、 实验目的

1.设计并实验一个一位半加器

2.掌握CPLD/FPGA 组合逻辑设计基本方法。 二、 实验原理

计算机中数的操作都是以二进制进位的,最基本的运算就是加法运算。按照进位是否加入,加法器分为半加器和全加器电路两种。计算机中的异或指令的功能就是求两个操作数各位的半加和。一位半加器有两个输入、输出,如图2-1。

图2-1 一位半加器示意图

表2-1

一个半加大路的真值表如表2-1所示,根据真值表可得到半加器的函数表达式:

Bi Ai Bi Ai Hi ?+?= Bi Ai Ci ?=

三、 实验连线

半加器的两个输入所对应的管脚同两位拨码开关相连,两个输入管脚名为a 、b ;两个输出所对应的管脚同两位发光二极管相连,两个输出管脚名为 c0和s,其中c0表示进位, s 表示相加结果。

四、

五、实验注意事项

1.提前编辑实验程序。

2.根据教师要求正确操作,并检验逻辑的正确性

六、思考题

1.EDA半加器实现与数字电路设计方法的根本区别。

2.简述EDA设计半加器的不同方法,并比较其优缺点。

3.心得体会及其他。

实验三 带进位输入的8位加法器

实验类型: 验证性

实验课时: 2 指导教师:

时 间:200 年 月 日 课 次:第 节

教学周次:第 周

实验分室: 实验台号: 实 验 员:

一、 实验目的

1. 设计并实现一个8位全加器

2. 掌握EDA 中模块调用方法 二、 实验原理

利用实验二构建的半加器构建一位的全加器,然后设计一个8 位的全加器,其框图如图4-1所示。图中的“进位入”C i-1指的是低位的进位输出,“进位出”Ci 即是本位的进位输出。

图 4-1 8位全加器原理图

三、 实验连线

全加器的17个输入所对应的管脚同17位拨码开关相连,17个输入管脚是a0~a7、b0~b7和cin a0~a7、b0~b7代表两个8位二进制数,cin 代表进位位;9个输出所对应的管脚同9位发光二极管相连,9个输出管脚是sum0~sum7和cout ,sum0~sum7代表相加结果,cout 代表进位位。

四、 实验记录

(被加数)Bi(7..0)

(被加数)Ai(7..0) (进位入)C i-1

(全加和)Si(7..0)

(进位出)Ci

五、实验结果分析与思考

1.半加器与全加器的区别。

2.实验设计程序

3实验结果总结

实验四数据比较器

实验类型:设计性实验课时: 2 指导教师:李海成时间:201 年月日课次:第节教学周次:第周实验分室:实验台号:实验员:

一、实验目的

1.设计并实现一个4位二进制数据比较器

2.掌握数据比较器的构建及其方法

二、实验原理

二进制比较器是提供关于两个二进制操作数间关系信息的逻辑电路。两个操作数的比较结果有三种情况:A等于B、A大于B和A小于B。

考虑当操作数A和B都是一位二进制数时,构造比较器的真值表见表9-1。输出表达式如下:

AEQB=A’B’+AB=(AB)’

A>B=AB’

A

在一位比较器的基础上,我们可以继续得到两位比较器,然后通过“迭代设计”得到4位的数据比较器。对于4位比较器的设计,我们可以通过原理图输入法或VHDL描述来完成,其中用VHDL语言描述是一种最为简单的方法。下面是一个3位比较器的VHDL描述:library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity comp is

port(a,b: in std_logic_vector(2 downto 0);

sel_f: in std_logic_vector(1 downto 0);

q: out Boolean);

end;

architecture a of comp is

begin

process(sel_f,a,b)

begin

case sel_f is

when”00” => q <= a=b;

when”01” => q <= a

when”10” => q <= a>b;

when others => q <=false;

end case;

end process;

end a;

实验源程序名是comp.vhd。

三、实验连线

输入信号有A0~A3、B0~B3、CLK和RST,其中A0~A3和B0~B3代表两路相互比较的数,接拨码开关,CLK接时钟,RST接复位端;输出信号有AEQB(A=B)、AGTB(A>B)、ALTB(A

四、实验记录

同前,对比较器造表,得到其真值表,并分析其运算结果的正确性。

五、实验结果分析与思考

1.比较器的应用场合。

2.实验设计程序

虚拟仪器程序设计实验指导书

《虚拟仪器程序设计》实验指导书机械与电气工程学院舒华戴新编 广州大学2009年

目录 实验1 熟悉LabVIEW编程环境 实验1-1 LabVIEW的基本操作 (1) 实验1-2 练习 (4) 实验2 控件与程序框图应用 实验2-1 虚拟仪器前面板的设计 (5) 实验2-2 编写简单的LabVIEW 程序 (6) 实验3 子VI程序设计及调试程序技巧 实验3-1 创建子程序 (8) 实验3-2 子程序的调用 (10) 实验3-3 程序调试技巧 (12) 实验4 程序结构(1) 实验4-1 使用for循环结构 (14) 实验4-2 使用while循环结构 (16) 实验5 程序结构(2) 实验5-1 使用条件结构 (18) 实验5-2 使用顺序结构 (19) 实验5-3 使用事件结构 (20) 实验6 数据的表达与图形显示 实验6-1 Waveform Graph的应用 (23) 实验6-2 比较Waveform Chart和Waveform Graph (24) 实验6-3 使用XY Graph显示图形 (26) 实验6-4 公式节点及图形显示 (27) 实验6-5 虚拟信号发生器 (28) 实验7 非连线的数据传递方式 实验7-1 控制仿真 (30) 实验7-2 数制变换及计数仿真 (32) 实验7-3 全局变量编程 (33) 实验8 文件操作 实验8-1 文本文件操作 (34) 实验8-2 电子表格文件操作 (35) 实验8-3 仿真温度数据的记录 (36) 实验8-4 仿真温度数据的读取 (37) 实验9 应用程序设计 实验9-1 构建简单的信号分析与处理系统 (38) 实验9-2 频率响应函数与数字滤波实验 (38)

《EDA》实验指导书2013-6-1

辽东学院自编教材 《可编程逻辑器件原理及应用实验》指导书 李海成编 (计算机科学与技术、电子信息工程专业用) 姓名: 学号: 班级: 信息技术学院 2013年6月

目录 目录 (1) 实验一MAX+PLUS-II设计三八译码器......... 错误!未定义书签。实验二半加器 . (2) 实验三带进位输入的8位加法器 (4) 实验四数据比较器 (6) 实验五编码器 (9) 实验六组合逻辑电路的设计 (12) 实验七计数器 (14) 实验八触发器功能的模拟实现 (17)

(被加数)Ai (被加数)Bi (半加和)Hi (本位进位)Ci 实验二 半加器 实验类型: 验证性 实验课时: 2 指导教师: 李海成 时 间:201 年 月 日 课 次:第 节 教学周次:第 周 实验分室: 实验台号: 实 验 员: 一、 实验目的 1.设计并实验一个一位半加器 2.掌握CPLD/FPGA 组合逻辑设计基本方法。 二、 实验原理 计算机中数的操作都是以二进制进位的,最基本的运算就是加法运算。按照进位是否加入,加法器分为半加器和全加器电路两种。计算机中的异或指令的功能就是求两个操作数各位的半加和。一位半加器有两个输入、输出,如图2-1。 图2-1 一位半加器示意图 表2-1 一个半加大路的真值表如表2-1所示,根据真值表可得到半加器的函数表达式: Bi Ai Bi Ai Hi ?+?= Bi Ai Ci ?= 三、 实验连线 半加器的两个输入所对应的管脚同两位拨码开关相连,两个输入管脚名为a 、b ;两个输出所对应的管脚同两位发光二极管相连,两个输出管脚名为 c0和s,其中c0表示进位, s 表示相加结果。 四、

LabVIEW实验指导书

L a b V I E W实验指导书集团标准化办公室:[VV986T-J682P28-JP266L8-68PNN]

实验1 LabVIEW编程环境与基本操作实验 一、实验目的 ●了解LabVIEW 7 Express的编程环境。 ●掌握LabVIEW的基本操作方法,并编制简单的程序。 二、实验设备 安装有LabVIEW 7 Express的计算机 三、实验内容 1、LabVIEW的工作环境 1)启动界面 对话框各按钮作用如下: 【New】——创建一个新的VI(Virtual Instument)程序。【Open】——打开一个已有的VI程序 【Configure】——设置NI的测量和控制工具,如DAQmax的设置【Help】——LabVIEW帮助 2)面板窗口和框图程序窗口

①前面板窗口工具栏: (Run,运行) (Abort Execution,终止运行) (Pause/Continue,暂停/继续) (Run Continuously,继续运行)(Text Settings,字体设置)(Align Objects,排列方式) (Distrbute Objects,分布方式) (Reorder,重叠方式) ②框图程序工具栏 (Highlight Execution,高亮执行) (Start Single Stepping,单步执行) (Start Single Stepping) (Step Out) 2、LabVIEW模板介绍 1)工具模板(Tools Palette)2)控制模板(Control Palette) 3)功能模板 (Functions Palette) 3、LabVIEW程序设计的一般过程 1)前面板设计 使用输入控件器和输出指示器来构成前面板。控制器是用户输入数据到程序的方法,而指示器显示程序产生的数值。 2)框图程序的组成

EDA实验指导书

实验一上机学习电路原理图的绘制(2) 一、设计目的 1. 掌握PROTEL软件的安装、运行及卸载,掌握Protel 99 SE的基本操作; 2. 掌握设计管理器的使用和设计环境的设置,熟悉常用元件库和各主要菜单及命令的使用; 3.学习电路原理图的基本绘图方法 二、设计内容 1.设置原理图的环境参数,添加相应的元件库文件 2.绘制课本P92页的一个D/A功能模块电路图,其中由一片12位的D/A、两片运放、一些电阻和电容组成 图1-1 实验1电路原理图实例 三、设计设备和仪器 1.计算机 1 台(CPU要求Pentium 166MHz以上,推荐内存应为16MB以上,显示器分辨率为800×600(或1024×768)模式。) 2.Protel 99SE 软件 四、设计方法 根据电路图加载相应的元件库文件,然后选择放置电子元件,编辑各元件并精确调整元件位置。对放置好的元件根据例图连接导线,绘制总线和总线出入端口,放置网络标号及电源和输入输出端口。最后放置注释文字。 五、实验步骤 (1)新建名为自己学号姓名的设计数据库 点击“NEW新建”新建数据库文件 在上图所示的选项栏里设置名为自己姓名学号的数据库文件 (2)建立名为自己姓名的原理图文件

点击上图所示图标建立名为自己姓名的原理图文件(3)进入原理图设计环境,修改文件名并修改图纸大小为A4 点击下图中“Options”选项设置图纸大小 (4)加载常用元件库 (5)从元件库中选出需用元件放在原理图设计工作面上 (6)利用绘图工具对所有元器件进行连线 最终原理图如图所示。 六、设计报告 1.明确实验目的和实验要求; 2.写出详细的实验内容和步骤; 3.写出实验中遇到的问题及改正的方法 七、注意事项 熟悉绘图工具的功能和用法是绘制好电路原理图的关键。

虚拟仪器LabVIEW实验一 虚拟万用表的实现实验指导书

虚拟万用表的实现 1实验目的 (1)学习Labview编程语言的开发环境 (2)了解前面板对象的调用、设置以及编程 (3)了解框图程序的常用节点 2 实验任务 设计虚拟数字万用表 基本要求: z设置电源开关:电源开时,数字万用表工作;电源关时,数字万用表不工作。 z设置数值显示屏:显示数字万用表测量的数据。 z设置档位选择旋钮:电阻档200、2K、20K、200K、20M五档;直流电流档200mV、2V、20V、200V、500V五档;交流电压档200V、500V 两档;直流电流档2mA、20mA、200mA、10A四档。 z设置数值单位提示显示:档位选择正确时,提示单位。 z设置超量程显示及报警:电源开关关闭时,提示“电源关”;档位选择错误时,给出档位选择错误提示;数值超出档位值时,给出超出量程提示; 同时给出报警信号。 z分单次测量、连续测量两种方式。单次测量时,仅测量显示测量时刻的值;连续测量时,不断的进行测量和显示。 z设置产生电阻值、直流电压、交流电压、直流电流的虚拟信号源。 附加要求(选作): 在产生的虚拟信号源上叠加噪声,以复现现实世界真实信号的特点。 3 实验原理 虚拟数字万用表的主要功能是对测量电路采集进来的数据进行处理和显示,整体是一个while循环,当电源打开且按下单次测量或多次测量按钮时,万用表工作,内部分为数据选择、数据判断、数据显示三部分。 z数据选择:是一个case结构,数据流旋钮的不同位置通过不同的数据通

道。 z数据判断:由两个case结构嵌套而成,外层的case针对不同的档位判断是否超出量程;内层的case当数值在范围内时开通数据通道,反之关闭 数据通道,给出错误提示。 z数据显示:由一个字符串显示变量、一个布尔显示变量、一个双精度浮点显示变量组成。 4 实验步骤 4.1前面板设计 图1是前面板的总体视图,分为信号源和数字万用表两个显示区。 图1 虚拟万用表前面板视图 1、完成信号源的设计 采用前面板“转盘”控件,在其上点击鼠标右键,选择“属性”——“外观”,通过修改标签,可以设置该控件的名称;选择“标尺”,设置“刻度范围”,可以设置该控件的数据范围,最终达到图1的显示效果。 2、完成数字万用表的设计 (1)采用前面板“转盘”控件,在其上点击鼠标右键,选择“文本标签”,然后再次选择“属性”——“文本标签”,可以修改该转盘上各档位的显示内容,如图2所示。 (2)按图1选择波形图作为连续测量时测量数据的显示窗口。 (3)选择数值显示控件作为数据显示和提示窗口。 (4)选择数值输入控件作为单次或多次测量的选择开关,对其设置如图3

EDA实验指导书

实验一 MAX+PLUSII软件的使用 [实验目的] 掌握MAX+PLUSII软件的使用。 [实验内容] 学习MAX+PLUSII软件的设计操作步骤。 [实验原理] MAX+PLUSII软件介绍。 MAX+PLUSII软件功能简介: 1 原理图输入(Graphic Editor) MAX+PLUSII软件具有图形输入能力,用户可以方便的使用图形编辑器输入电路图,图中的元器件可以调用元件库中元器件,除调用库中的元件以外,还可以调用该软件中的符号功能形成的功能块。 2 硬件描述语言输入(Text Editor) MAX+PLUSII软件中有一个集成的文本编辑器,该编辑器支持VHDL,AHDL和Verilog硬件描述语言的输入,同时还有一个语言模板使输入程序语言更加方便,该软件可以对这些程序语言进行编译并形成可以下载配置数据。 3 波形编辑器(waveform Editor) 在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(*.SCF文件),使用该编辑器的工具条可以容易方便的生成波形和编辑波形。 4 编译与仿真 当设计文件被编译好,并在波形编辑器中将输入波形编辑完毕后,就可以进行行为仿真了,通过仿真可以检验设计的逻辑关系是否准确。 5 器件编程 当设计全部完成后,就可以将形成的目标文件下载到芯片中,实际验证设计的准确性。[实验步骤] 设计过程如下: 1)输入项目文件名(File/Project/Name) 2)输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式) (Max+plusⅡ/graphic Editor, Max+plusⅡ/Text Editor, Max+plusⅡ/Waveform Editor) 3)指定CPLD型号(Assign/Device) 4)设置管脚、下载方式和逻辑综合的方式 (Assign/Global Project Device Option,Assign/Global Logic Synthesis) 5)保存并检查源文件(File/project/Save & Check) 6)指定管脚(Max+plusⅡ/Floorplan Editor) 7)保存和编译源文件(File/project/Save & Compile) 8)生成波形文件(Max+plusⅡ/Waveform Editor) 9)仿真(Max+plusⅡ/Simulator) 10)下载配置(Max+plusⅡ/Programmer) [实验报告要求] 不做要求。 实验二简单组合逻辑电路设计 [实验目的] 1 通过本实验提供的实例,掌握组合逻辑电路的设计方法。

虚拟仪器技术实验指导书

《虚拟仪器技术》 实验指导书 信息与通信工程学院 2014年3月

前言 一、课程性质 本课程是电子信息工程专业必修的专业实验课程。 通过本课程的教学,使学生深刻体会到虚拟仪器技术的应用,掌握LabVIEW的常用控件和函数,具备研究和开发虚拟仪器系统的能力。 二、项目设置 本课程总学时为16,开设的具体实验项目如下: ●实验1 小车行驶控制设计(4学时,必修) ●实验2 交通灯控制设计(4学时,选修) ●实验3 去极值平均滤波器的设计(4学时,必修) ●实验4 信号的拉氏变换和幅值分析(4学时,选修) ●实验5 信号生成器的设计(4学时,必修) ●实验6 二进制文件的读写操作(4学时,必修) 实验1-6均为设计性实验。 三、专业安排 电子信息工程专业选修全部实验。 四、本书特点 本指导书的特点是引入工程项目机制来管理实验项目,着重培养学生的方案设计、算法分析和现场调试能力,为将来成为卓越工程师打下坚实的基础。

目录 前言.................................................................................................................................. I 开发平台.. (1) 实验1 小车行驶控制设计 (5) 实验2 交通灯控制设计 (7) 实验3 去极值平均滤波器的设计 (8) 实验4 信号发生器的设计 (9) 实验5 信号的拉氏变换和幅值分析 (11) 实验6 二进制文件的读写操作 (13)

开发平台 一、虚拟仪器简介 虚拟仪器以计算机为核心,将计算机与测量系统融于一体,用软件代替传统仪器硬件的功能,用显示器代替传统仪器面板的测量仪器。 操作人员用鼠标和键盘控制仪器的启动、运行、结束,完成被测信号的数据采集、信号分析、谱图显示、数据存储回放及输出。 二、LabVIEW功能组成 图1.1 LabVIEW功能组成 三、LabVIEW开发流程 为项目建立文件夹,把相关的源程序和头文件等都保存到此文件夹。 1、启动LabVIEW 首先双击桌面LabVIEW图标,启动LabVIEW。 图1.2 LabVIEW启动界面 2、建立VI或工程 单击文件菜单,在下拉菜单选中新建VI或新建工程选项。 3、保存工程 单击文件菜单,在下拉菜单选中保存全部选项。

09EDA实验指导书

EDA实验指导书

目录 实验一基于QUARTUSII图形输入电路的设计 (2) 实验二含异步清零和同步使能的加法计数器 (5) 实验三图形和VHDL混合输入的电路设计 (7) 实验四矩阵键盘接口电路的设计 (10) 实验五交通灯控制电路实验 (16) 附图EP1K10TC100管脚图 (24) 主芯片:ACEX 1K 系列的EP1K10TC100-3 下载电缆:Byte Blaster II

实验一基于QUARTUSII图形输入电路的设计 一、实验目的 1、通过一个简单的3线—8线译码器的设计,掌握组合逻辑电路的设计方法。 2、初步了解QUARTUSII原理图输入设计的全过程。 3、掌握组合逻辑电路的静态测试方法。 二、实验原理 3线-8线译码器三输入,八输出。当输入信号按二进制方式的表示值为N时,输出端标号为N的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。其真值表如表1-1所示 输入输出 D2 D1 D0 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 0 0 1 1 0 0 1 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 表1-1 3线-8线译码器真值表 译码器不需要像编码器那样用一个输出端指示输出是否有效。但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使 能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表 示无任何信号。本例设计中没有考虑使能输入端,自己设计时可以考虑加入使 能输入端时,程序如何设计。 三、实验内容 在本实验中,用三个拨动开关来表示3线-8线译码器的三个输入(D2-D0);用

labview测试实验指导书

北京理工大学珠海学院 工程测试技术 实验指导书 指导教师:周靖 班级: 姓名: 工业自动化学院 2017年4月

前言 测试技术是具有实验性质的测量技术,与计算机技术、自动控制技术、通信技术构成完整的信息技术学科,主要研究各种物理量的测量原理和测量信号的分析处理方法,是进行各种科学实验研究和生产过程参数检测等必不可少的手段。随着现代信息技术的不断发展,机械工程测试作为一门与之密切相关的课程,其重要性是不言而喻的,这是一门以算法为核心的理论性、工程实用性均较强的课程。但由于目前关于信号处理的有关书籍大都是只讲解算法和推导过程,而与工程实际联系很少,这使得书中所涉及的有关概念比较抽象,再加上教学方法和手段的单一以及实验条件的限制,长期以来使该课程一直处于“难教难学”的一种境地,学生很难把书中所讲的数学函数与实际的波形联系起来,给学习带来了很大的困难,大大降低了学生的学习积极性,影响了本课程的教学效果。因此, 如何有效的理论联系实际,提高教学质量和教学效果一直以来是该课程教学中思考和探索的问题。而计算机仿真技术的发展对机械工程测试的教学带来了新的思路,尤其是将虚拟仪器引入教学过程具有重要的意义,为该课程实验教学的改革提供了强有力的支持。 一.虚拟仪器与LabVIEW软件简介 虚拟仪器是1986年美国国家仪器公司(National Instrument,即NI公司)首先提出的,是指通过程序编制将通用计算机与数量有限的功能板卡相结合所构成的功能灵活、模块化、操作方便且可视化的软件系统。用户可以根据自己的习惯利用虚拟仪器系统来完成相应的控制、数据分析、存储和显示等操作。LabVIEW ( Laboratory Virtual Instrument Engineering Work Bench)是NI公司开发的、采用图形化程序语言——G语言, 通过各功能图标间的逻辑连接实现程序功能的图形化程序设计软件,是虚拟仪器的主要支持技术之一。该软件提供了灵活强大的函数库,在数据处理控制方面有动态连接库、共享库、数字信号处理和产生、频谱分析、滤波、平滑窗口、概率统计等VI。LabVIEW也提供了大量的通过ActiveX等与外部代码或软件进行连接的功能。例如可以与C/C++、VC、VB、Matlab 等软件相连。

EDA实验指导书

ED心验指导书齐鲁理工学院

目录 实验一Protel DXP 2004认识实验 0 实验二两级阻容耦合三极管放大电路原理图设计 0 实验三原理图元件库建立与调用 (2) 实验四两级阻容耦合三极管放大电路PCB图设计............................ .4实验五集成电路的逻辑功能测试.. (6) 实验六组合逻辑电路分析与设计............................................... 1.1实验七Quartus II的使用 ................................................. 1.6实验八组合逻辑器件设计. (16) 实验九组合电路设计 (24)

实验一Protel DXP 2004 认识实验 一、实验目的 1. 掌握Protel DXP 2004的安装、启动和关闭。 2. 了解Protel DXP 2004主窗口的组成和各部分的作用。 3. 掌握Protel DXP 2004工程和文件的新建、保存、打开。 二、实验内容与步骤 1、Protel_DXP_2004 的安装 (1) 用虚拟光驱软件打开Protel_DXP_2004.iso 文件 (2) 运行setup\Setup.exe 文件,安装Protel DXP 2004 (3) 运行破解程序后,点击导入模版”,先导入一个ini文件模版(如果要生成单机版的License选择Unified Nexar-Protel License.ini;要生成网络版的License选择Unified Nexar-Protel Network License.ini ),然后修改里面的参数:TransactorName=Your Name (将"Your Name替换为你想要注册的用户名);SerialNumber=0000000 (如果你只有一台计算 机,那么这个可以不用修改,如果有两台以上的计算机且连成局域网,那么请保证每个License文件中的SerialNumber=为不同的值。修改完成后点击生成协议文件",任意输入一 个文件名(文件后缀为.alf)保存,程序会在相应目录中生成1个License文件。点击替换密钥”,选取DXP.exe (在DXP 2004安装目录里,默认路径为),程序会自动替换文件中的公开密钥。将前面生成的License文件拷贝至DXP 2004安装目录里(默认路径为)授权完成。 (4) 打开Protel 在左上角DXP 菜单下的Preference 菜单项里,选中Use localize resources后关闭Protel_DXP_2004 ,重新打开软件变为简体中文版本。 2、Protel_DXP_2004 的卸载 卸载Protel_DXP_2004的具体步骤如下: (1) 在Windows的“开始”菜单中选择“设置/控制面板”,然后在控制面板中选择“添加/删除程序”选项,将弹出对话框。从中选择DXP 2004应用软件。 (2) 单击删除”按钮,将弹出对话框,询问用户是否真的要删除程序。 (3) 单击“是”按钮,开始卸载。在卸载过程中,若想终止卸载,可单击“取消”按

LabVIEW实验指导书四

实验四数据的图形显示 一.实验目的 熟悉图形显示控件的使用,进一步掌握LabVIEW环境和编程思想。 二.实验内容 设计一个温度显示与越界报警VI程序。具体条件和要求为: (1)每隔1秒测量温度,并在一个波形图表上连续显示温度。当温度高于或低于预先设定的限值,VI将打开前面板上的一个LED。 (2)波形图表显示温度和上线限值,上下限值可以从前面板设置。 三.实验步骤 1.依据题意,在前面板上创建如图1所示的输入和显示控件,并将控件标签改为适当的名称。 图1 前面板布局 2. 在前面板上增加设计人信息,如图2所示; 图2 设计人信息 3. 在程序框图中拖入1个While循环结构(While Loop),并按题意拖入相应节点函数,按 图3所示,进行连线;

图3 程序连线框图 4. 将文件保存,在前面板上点击“连续运行”按钮,进行程序调试。 5. 连续运行程序,改变参数,观测结果,并拷贝前面板和程序框图到Word文件中,作为本 次实验报告的素材。 6. 导出任一时刻的波形图表图像(LabVIEW8.2以上版本),并粘贴到上述Word文件中。 四、修改程序 上述VI程序中,“温度下限”和“温度上限”之间没有约束条件,若任意调节这两个输入控件,则会出现“温度下限”值大于“温度上限”值的情况(逻辑错误)。因此,修改程序,增加条件判断逻辑:当“温度下限”超过“温度上限”值时,程序以低于“温度上限”1摄氏度的值,作为实际的温度下限。 图4逻辑错误时的实际监测方式

将以上实现过程的程序框图,拷贝到Word文件中,并加以阐述。 五、心得体会(包括调试排错过程)。

EDA实验指导书new_Quartus2

EDA技术实验手册及程序代码 物理与信息项目学院 学号:111000228 姓名:汪艺彬 注意事项 1、本实验手册是为了配合《EDA技术实用教程》,作为本课程实验环节的补充 指导而编制。 2、实验中涉及的QuartusⅡ软件的使用请参考 《EDA技术实用教程》中有关章节。 手册中所有的虚线空白框,都留出来作为实验记录之用,每个实验完成后,应按照实验内容的要求将实验结果记入框中。 4、每个实验后面都附有一道思考题,完成实验内容后可以作为更进一步的练习 。 5、每次实验后将手册相关部分<完成实验结果记录)和实验源代码<.vhd文件) 一起,作为实验报告上交。 6、课程结束后请将所有报告按顺序加封面装订好上交,作为实验部分成绩计入 总成绩。 实验一利用原理图输入法设计4位全加器一、实验目的: 熟悉如何在QuartusⅡ集成环境下利用原理图输入设计简单组合逻辑电路,掌握层次化的电路设计方法。 二、实验原理: 一个4位全加器可以由4个一位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的进位输入信号cin相接。 三、实验内容: 1.QuartusII软件的熟悉

熟悉QuartusⅡ环境下原理图的设计方法和流程,可参考课本5.4节的内容,重点掌握层次化的设计方法。 2.设计1位全加器原理图 设计的原理图如下所示 3.利用层次化原理图方法设计4位全加器 <1)生成新的空白原理图,作为4位全加器设计输入 <2)利用已经生成的1位全加器作为电路单元,设计4位全加器的原理图,如下所示 4、设计一个超前进位4位全加器 以上设计的全加器是基于串行进位的结构,高位的进位输入必须等待低位的运算结果,造成较长的延时。通过对进位位进行超前运算,可以缩短这部分的延时。 在已有1位全加器的基础上设计一个具有超前进位结构的4位全加器,原理图如下所示 5、完成设计流程

Labview实验指导书

Labview虚拟仪器实验指 导书 宋爱娟 2009.2

目录 实验一 Labview的认识性实验(2学时) (3) 实验二 Labview的基本操作(2学时) (4) 实验三数据操作实验(2学时) (6) 实验四 labview结构在编程中的应用(4学时) (10) 实验五 labview中字符串、数组、簇的实验(2学时) (16) 实验六图表和图形实验(4学时) (23) 实验七专业测试系统的搭建实验(2学时) (28) 实验八创建子VI(2学时) (32) 实验九人机界面交互设计实验(2学时) (35) 实验十波形编辑及频谱分析实验(3学时) (39) 实验十一救援用LED灯实验(4学时选作) (41)

实验一Labview的认识性实验 一、目的 1、熟悉Labview的基本组件 2、熟悉Labview的前面板、程序框图、快捷和下拉菜单 3、掌握Labview的选项板及在线帮助 二、环境 1、WINDOWS2000环境(将显示属性中的分辨率设置为1024*768) 2、Labview8.6软件 三、内容与步骤: [练习1] 启动Labview,查找Labview示例 步骤: 1.打开文件VibrationAnalysis.vi(c:/ProgramFiles/National Instruments/LabVIEW 8.6/examples/apps/demos.llb) 2.单击按钮Run运行该程序 3.改变采样速率 4.改变采样速度,验证希望速度与实际速度是否一致 [练习2] 熟悉前面板与程序框图的切换及观察程序流的执行过程 1.在练习1的基础上,利用快捷方式将前面板切换到程序框图。 2.单击高亮度显示按钮观察代码的数据流向。 [练习3] 熟练打开运行一个VI 1.练习查找所定VI,另用帮助查找含有FILTER的示例,找到其中的Express Filter.VI程序双击打开 2.运行该程序 3.改变仿真频率、仿真幅度和仿真噪声幅度观察指示器的值与图中值是否一致。 4.观察数据流执行过程 [练习4]练习查找运行 1.将上面程序在框图窗口双击程序框图中的Simulate Signal,将正弦信号改为其他信号之后运行程序。 2.熟练查找其他程序并运行 [练习5] 1.在Labview启动界面中选择新建选项 2.打开空VI或VI模板 3.任意打开VI模板并运行。

EDA实验箱实验指导书

实验二流水灯 1.实验目的 通过本实验让学生进一步了解、熟悉和掌握CPLD/FPGA开发软件的使用方法及VHDL 语言的编程方法;学习简单的时序电路的设计和硬件测试。 2.实验内容 本实验的内容是控制实验箱上的发光二极管LED1—LED8,使之实现流水灯显示。3.实验原理 在LED1~LED8引脚上周期性地输出流水数据,即输出的数据依次为11111111、11111110、11111100、11111000、11110000、11100000、11000000、10000000、00000000,如此循环显示,输出数据“0”,表示点亮相应的LED小灯。为了方便观察,流水的速率控制在2Hz左右。在核心板上有一个48MHz的标准时钟源,该时钟源与芯片EP2C5的23脚相连。为了产生2Hz的时钟源,在此调用了分频模块int_div。 4.实验步骤 (1)启动Quartus II,建立一个空白工程,然后命名为led_waterflow.qpf。 (2)新建ledwater.vhd源程序文件,源代码如下。然后进行综合编译。若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。生产符号文件ledwater.bsf (File→ Create/_Update → Create Symbol Files for Current File)。 流水灯程序参考 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_Arith.ALL; USE IEEE.STD_LOGIC_Unsigned.ALL; ENTITY ledwater IS PORT( clk: IN STD_LOGIC; led: OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );END; ARCHITECTURE one OF ledwater IS SIGNAL led_r:STD_LOGIC_VECTOR(8 DOWNTO 0); BEGIN led<=led_r(7 DOWNTO 0); PROCESS(clk) BEGIN IF clk’event and clk=’1’ THEN led_r<=led_r(7 DOWNTO 0) & '0'; IF led_r="000000000" THEN --循环完毕吗? led_r<="111111111"; --是,则重新赋初值 END IF; END IF; END PROCESS; END; (3)将实验模块库里的int_div.vhd和int_div.bsf拷贝到工程目录下。

LabVIEW实验指导书

实验1 LabVIEW编程环境与基本操作实验一、实验目的 ●了解LabVIEW 7 Express的编程环境。 ●掌握LabVIEW的基本操作方法,并编制简单的程序。 二、实验设备 安装有LabVIEW 7 Express的计算机 三、实验内容 1、LabVIEW的工作环境 1)启动界面 对话框各按钮作用如下: 【New】——创建一个新的VI(Virtual Instument)程序。【Open】——打开一个已有的VI程序 【Configure】——设置NI的测量和控制工具,如DAQmax的设置【Help】——LabVIEW帮助 2)面板窗口和框图程序窗口

①前面板窗口工具栏: (Run,运行) (Abort Execution,终止运行 ) (Pause/Continue,暂停/继续) (Run Continuously,继续运行) (Text Settings,字体设置)(Align Objects,排列方式 ) (Distrbute Objects,分布方式) (Reorder,重叠方式) ②框图程序工具栏 (Highlight Execution,高亮执行) (Start Single Stepping,单步执行 ) (Start Single Stepping) (Step Out) 2、LabVIEW模板介绍 1)工具模板 (Tools Palette) 2)控制模板 (Control Palette) 3)功能模板 (Functions Palette) 3、LabVIEW程序设计的一般过程 1)前面板设计 使用输入控件器和输出指示器来构成前面板。控制器是用户输入数据到程序的方法,而指示器显示程序产生的数值。 2)框图程序的组成 框图程序由节点、端口和连线和组成。

虚拟仪器实验指导书2015课案

《虚拟仪器》实验指导书 中南大学信息科学与工程学院

2015年3月

目录 实验一创建和编辑VI程序 (1) 实验二变量、数组与簇 (2) 实验三结构与属性控制 (4) 实验四波形显示 (5) 实验五字符串与文件I/O (6) 实验六数学分析与信号处理 (7) 实验七数据采集卡配置及应用 (8) 实验八应变测试 (12) 附录LABVIEW软件安装 (15)

前言 《虚拟仪器》实验分为软件实验部分和硬件实验部分:实验一至实验六为软件实验部分,主要学习图形化编程软件LabVIEW的原理及编程方法,按照实验内容和要求进行操作,循序渐进地掌握VI程序及子程序的创建和调用,编辑和调试,各种结构、图表、图形和数组的使用,以及字符串和文件I/O的操作,熟悉LabVIEW的各种函数以及菜单,选项的作用和功能。实验七至实验八为硬件实验部分,主要了解PCI-6024E多功能数据采集卡的使用方法和采集通道设置,并创建VI程序控制数据采集卡的I/O操作,利用信号发生器产生信号,实时检测,显示测量数据及波形,并写出实验报告。 在教学上,让学生学会使用LabVIEW系统的在线帮助,以获得更快更详细的帮助信息,帮助理解和掌握所学的内容。 实验注意事项: ●请同学们在F盘根目录下建立一个自己的文件夹,把课堂练习的文件存 在该文件夹中。 ●同学们在使用LabVIEW系统自带的示例程序后,关闭时不可保存,以免 改动原文件,但可以用另存的方式保存在其它文件夹中(如同学自己的 文夹)。 ●在硬件实验中,信号发生器的输出幅度不要超过±10V,千万注意连接 板的各导线之间不要短路,以免发生故障。

EDA实验指导书1

EDA实验指导书 天津大学仁爱学院 2011年9月30日

目录 1.实验一LED实验 (验证性实验) 2.实验二LED点阵实验 (综合性实验) 3.实验三LCD显示实验 (设计性实验)

实验一:LED实验 一、实验目的 1.熟悉ISE8.2开发环境,掌握工程的生成方法; 2.熟悉SEED-XDTK_V4实验环境; 二、实验内容 1.创建工程; 2.添加HDL资源文件; 3.配置一个应用程序完成设计。 三、实验准备 1.通过USB口下载电缆将计算机的USB口及SEED-FEM025板的J9连接好; 2.启动计算机,打开SEED-XDTK_V4实验箱电源开关。观察SEED-FEM025板上的+ 5V(D11)的电源指示灯是否均亮。若有不亮的,请断开电源,检查电源。 四、实验步骤 1.创建工程 1)双击桌面Xilinx ISE8.2快捷方式打开ISE工程管理器(Project Navigator); 2)打开Project Navigator后,选择File→New Project,弹出新建工程对话框; 3)在工程路径中单击“…”按钮,将工程指定到如下目录D:\02.V4_lab,单击确定; 4)在工程名称中输入led,点击Next按钮,如图1.1所示; 图1.1 5)弹出器件特性对话框。器件族类型(Device Family)选择“Virtex4”,器件型号(Device) 选“XC4VSX25FF668-10”,综合工具(Synthesis Tool)选“XST(VHDL/Verilog)”,仿真器(Simulator)选“ISE Simulator”,如图1.2;

虚拟仪器实验指导书-2016版

虚拟仪器技术实验指导 深圳大学测控技术与仪器

目录 实验一 LabVIEW编程环境与基本操作实验 (1) 实验二 LabVIEW编程的结构实验1 (7) 实验三LabVIEW编程的图形图表、数组与簇 (156) 实验四 LabVIEW编程的数据采集实验 (190) 实验五 NI ELVIS环境 (278) 实验六 RC 瞬态电路和数字温度计设计 (275)

实验一LabVIEW编程环境与基本操作实验 一、实验目的 1.了解LabVIEW的编程环境。 2.掌握LabVIEW的基本操作方法,并编制简单的程序。 3.学习建立子程序的过程和调用子程序的方法 二、实验原理 1.虚拟仪器 虚拟仪器(Virtual Instrument,简称VI)是基于计算机的软硬件测试平台。虚拟仪器技术的优势在于可由用户定义自己的专用仪器系统,且功能灵活,很容易构建,所以应用面极为广泛。 20世纪80年代,随着计算机技术的发展,个人电脑可以带有多个扩展槽,就出现了插在计算机里的数据采集卡。它可以进行一些简单的数据采集,数据的后处理由计算机软件完成,这就是虚拟仪器技术的雏形。1986年,美国National Instruments公司(简称NI公司)提出了“软件即仪器”的口号,推出了NI-LabVIEW开发和运行程序平台,以直观的流程图编程风格为特点,开启了虚拟仪器的先河。 2.LabVIEW LabVIEW(Laboratory Virtual instrument Engineering)是一种图形化的编程语言,一个标准的数据采集和仪器控制软件。LabVIEW集成了与满足GPIB、VXI、RS-232和RS-485协议的硬件及数据采集卡通讯的全部功能。它还内置了便于应用TCP/IP、ActiveX等软件标准的库函数。这是一个功能强大且灵活的软件。利用它可以方便地建立自己的虚拟仪器,其图形化的界面使得编程及使用过程都生动有趣。图形化的程序语言,又称为“G”语言。使用这种语言编程时,基本上不写程序代码,取而代之的是流程图或流程图。 (1)LabVIEW应用程序的构成 所有的LabVIEW应用程序, 即虚拟仪器(VI),它包括前面板(front panel)、流程图(block diagram)以及图标/连结器(icon/connector) 前面板 前面板是图形用户界面,也就是 VI 户输入和显示输出两类对象,具体表 现有开关、旋钮、图形以及其他控制 (control)和显示对象(indicator)。 图1.1随机信号发生器的前面板

EDA实验指导书全(Verilog版)

EDA实验指导书 熊利祥编 武汉理工大学华夏学院

2011年9月

前言 一、实验课目的 EDA实验课是电子工程类专业教学中重要的实践环节,包括了ISE开发环境基本操作及Verilog语言、组合逻辑电路设计、流水灯设计、计数器设计、扫描显示电路的驱动、综合层次性实验——交通灯或数字秒表设计实验。要求学生通过实验学会正确使用EDA技术,掌握FPGA器件的开发,熟练使用ISE开发环境,掌握Verilog 语言的编程,掌握数字电路和系统的设计。 通过实验,使学生加深对课堂专业教学内容的理解,培养学生理论联系实际的能力,实事求是,严谨的科学作风,使学生通过实验结果,利用所学的理论去分析研究EDA技术。培养学生使用EDA实验设备的能力以及运用实验方法解决实际问题的能力。 二、实验要求: 1.课前预习 ①认真阅读实验指导书,了解实验内容; ②认真阅读有关实验的理论知识; ③读懂程序代码。 2.实验过程 ①按时到达实验室; ②认真听取老师对实验内容及实验要求的讲解; ③认真进行实验的每一步,观察程序代码与仿真结果是否相符; ④将实验过程中程序代码和仿真结果提交给老师审查; ⑤做完实验后,整理实验设备,关闭实验开发板电源、电脑电源后方可离开。 3.实验报告 ①按要求认真填写实验报告书; ②认真分析实验结果; ③按时将实验报告交给老师批阅。

三、实验学生守则 1.保持室内整洁,不准随地吐痰、不准乱丢杂物、不准大声喧哗、不准吸烟、不准吃东西; 2.爱护公务,不得在实验桌及墙壁上书写刻画,不得擅自删除电脑里面的文件; 3.安全用电,严禁触及任何带电体的裸露部分,严禁带电接线和拆线; 4.任何规章或不按老师要求操作造成仪器设备损坏须论价赔偿。

EDA实验指导书_新2014(新)印刷

淮阴工学院EDA技术实验指导书 编者:叶小婷 电子与电气工程学院 2014年6月7日

目录 实验一基于QUARTUSII 图形输入电路的设计 (1) 实验二基于VHDL 格雷码编码器的设计 (16) 实验三含异步清零和同步使能的加法计数器 (18) 实验四八位七段数码管动态显示电路的设计 (20) 实验五数控分频器的设计 (22) 实验六图形和VHDL 混合输入的电路设计 (23) 实验七四位并行乘法器的设计 (26) 实验八基本触发器的设计 (28) 实验九四位全加器设计 (30) 实验十矩阵键盘显示电路的设计 (32) 实验十一用VHDL 设计七人表决器 (35) 实验十二用VHDL 设计四人抢答器 (37) 实验九熟悉PROTEL99环境 (39) 实验十原理图设计 (42) 实验十一元件制作与网络表操作 (44) 实验十二印刷电路板设计 (47) 附录一实验箱常用管脚分配表 (49) 附录二参考程序 (51)

实验一基于QUARTUSII 图形输入电路的设计 一、实验目的 1.通过一个简单的3—8译码器的设计,掌握组合逻辑电路的设计方法。 2.初步了解QUARTUSII 原理图输入设计的全过程。 3.掌握组合逻辑电路的静态测试方法。 二、实验设备 1.PC机一台; 2.Altera Blaster下载器一根; 3.THGSC-3型实验箱一台。 三、实验原理 3-8译码器三输入,八输出。当输入信号按二进制方式的表示值为N时,输出端标号为N 的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。 译码器不需要像编码器那样用一个输出端指示输出是否有效。但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表示无任何信号。本例设计中没有考虑使能输入端,自己设计时可以考虑加入使能输入端时,程序如何设计。 四、实验容 在本实验中,用三个拨动开关(SW1~SW3)来表示三八译码器的三个输入(A、B、C);用八个LED 来表示三八译码器的八个输出(D1~D8)。通过输入不同的值来观察输入的结果与三八译码器的真值表是否一致。实验箱中的拨动开关,当开关闭合(拨动开关的档位在下方)时其输出为低电平,反之输出高电平。实验箱中的拨动开关与FPGA 的接口电路,LED 灯与FPGA 的接口电路以及拨动开关、LED 与FPGA 的管脚连接在用户手册中都做了详细说明,这里不再赘述。 五、实验步骤 下面将通过这个实验,向读者介绍QUARTUSII 的项目文件的生成、编译、管脚分配以及时序仿真等的操作过程。 1.建立工程文件 1)选择“开始>程序>Altera>QuartusII 9.0”,运行QUARTUSII 软件。或者双击桌面上的QUARTUSII 的图标运行QUARTUSII 软件,出现如图1-1 所示,如果是第一次打开QUARTUSII 软件可能会有其它的提示信息,使用者可以根据实际情况进行设定后进入图1-1 所示界面。 2)选择软件中的,新建一个工程。如图1-2所示。 3)点击图1-2 中的Next 进入工作目录,工程名的设定对话框如图1-3 所示。第一个输入框为工程目录输入框,用户可以输入如e:/eda 等工作路径来设定工程的目录,设定好后,所有的生成文件将放入这个工作目录。第二个输入框为工程名称输入框,第三个输入框为顶层实体名称输入框。用户可以设定如exp1,一般情况下工程名称与实体名称相同。使用者也可以根据自已的实际情况来设定。

相关主题
文本预览
相关文档 最新文档