当前位置:文档之家› 09EDA实验指导书

09EDA实验指导书

09EDA实验指导书
09EDA实验指导书

EDA实验指导书

目录

实验一基于QUARTUSII图形输入电路的设计 (2)

实验二含异步清零和同步使能的加法计数器 (5)

实验三图形和VHDL混合输入的电路设计 (7)

实验四矩阵键盘接口电路的设计 (10)

实验五交通灯控制电路实验 (16)

附图EP1K10TC100管脚图 (24)

主芯片:ACEX 1K 系列的EP1K10TC100-3

下载电缆:Byte Blaster II

实验一基于QUARTUSII图形输入电路的设计

一、实验目的

1、通过一个简单的3线—8线译码器的设计,掌握组合逻辑电路的设计方法。

2、初步了解QUARTUSII原理图输入设计的全过程。

3、掌握组合逻辑电路的静态测试方法。

二、实验原理

3线-8线译码器三输入,八输出。当输入信号按二进制方式的表示值为N时,输出端标号为N的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。其真值表如表1-1所示

输入输出

D2 D1 D0 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0

0 0 0 0 0 0 0 0 0 0 1

0 0 1 0 0 0 0 0 0 1 0

0 1 0 0 0 0 0 0 1 0 0

0 1 1 0 0 0 0 1 0 0 0

1 0 0 0 0 0 1 0 0 0 0

1 0 1 0 0 1 0 0 0 0 0

1 1 0 0 1 0 0 0 0 0 0

1 1 1 1 0 0 0 0 0 0 0

表1-1 3线-8线译码器真值表

译码器不需要像编码器那样用一个输出端指示输出是否有效。但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使

能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表

示无任何信号。本例设计中没有考虑使能输入端,自己设计时可以考虑加入使

能输入端时,程序如何设计。

三、实验内容

在本实验中,用三个拨动开关来表示3线-8线译码器的三个输入(D2-D0);用

八个LED来表示3线-8线译码器的八个输出(Y0-Y7)。通过输入不同的值来观察输入的结果与3线-8线译码器的真值表(表1-1)是否一致。实验箱中的拨动开关当开关闭合(拨动开关的档位在下方)时其输出为低电平,反之输出高电平。

LED灯与其对应的端口为高电平时LED就会发光,反之LED灯灭。

四、实验步骤

1、打开QUARTUSII软件,新建一个工程。

2、建完工程之后,再新建一个图形设计文件Schematic File。并输入3线-8线译码器电路图1-1所示。

图1-1 设计文件的输入

3、编译仿真无误后,根据用户自己的要求进行管脚分配。分配完成后,再进行全

编译一次,以使管脚分配生效。

4、根据实验内容用实验导线将上面管脚分配的FPGA管脚与对应的模块连接起

来。

如果是调用的本书提供的VHDL代码,则实验连线如下:

D2-D0:编码输入端,接3个拨动开关S1-S3。

Y0-Y7:编码输出信号,接8个LED灯D1-D8。

5、用下载电缆将对应的sof文件加载到FPGA中。观察实验结果。

6、用VHDL语言设计上述3线-8线译码器,新建VHDL设计文件,输入程序如

下:(其中增加了en使能输入端,低电平有效)

五、实验现象与结果

文件加载到目标器件后,拨动拨动开关,LED灯会按表1-1所示的真值表对应的点亮。

六、实验报告

1、进一步熟悉和理解QUARTUSII软件的使用方法。

实验二含异步清零和同步使能的加法计数器

一、实验目的

1、了解二进制计数器的工作原理。

2、进一步熟悉QUARTUSII软件的使用方法和VHDL输入。

3、时钟在编程过程中的作用。

二、实验原理

二进制计数器中应用最多、功能最全的计数器之一,含异步清零和同步使能的加法计数器的具体工作过程如下:

在时钟上升沿的情况下,检测使能端是否允许计数,如果允许计数(定义使能端高电平有效)则开始计数,否则一直检测使能端信号。在计数过程中再检测复位信号是否有效(低电平有效),当复位信号起作用时,使计数值清零,继续进行检测和计数。其工作时序如图2-1所示:

图2-1 计数器的工作时序

三、实验内容

本实验要求完成的任务是在时钟信号的作用下,通过使能端和复位信号来完成加法计数器的计数。实验中时钟信号使用数字时钟源模块的1HZ信号,用一位拨动开关S1表示使能端信号,用复位开关K1表示复位信号,用LED模块的D1~D4来表示计

数的二进制结果。实验LED亮表示对应的位为‘1’,LED灭表示对应的位为‘0’。通过输入不同的值模拟计数器的工作时序,观察计数的结果。

四、实验步骤

1、打开QUARTUSII软件,新建一个工程。

2、建完工程之后,再新建一个VHDL File,打开VHDL编辑器对话框。

3、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序。

注意:要求有异步清零信信号ret,低电平有效;

同步时能信号en,高电平有效

4、编写完VHDL程序后,保存起来。方法同实验一。

5、对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。

6、编译仿真无误后,根据用户自己的要求进行管脚分配。分配完成后,再进行全

编译一次,以使管脚分配生效。

7、根据实验内容用实验导线将上面管脚分配的FPGA管脚与对应的模块连接起

来。

8、用下载电缆将对应的sof文件加载到FPGA中。观察实验结果是否与自己的编程

思想一致。

9、思考:把该设计改成是加减法可控的计数器,增加控制输入端M,当M=0时,

进行加法计数,当M=1时,进行减法计数。

五、实验现象与结果

六、实验报告

1、绘出仿真波形,并作说明。

2、写出在VHDL编程过程中需要说明的规则。

3、将实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果记录下来。

4、改变时钟频率,看实验现象会有什么改变,试解释这一现象。

实验三图形和VHDL混合输入的电路设计

一、实验目的

1、学习在QUARTUSII软件中模块符号文件的生成与调用。

2、掌握模块符号与模块符号之间的连线规则与方法。

3、掌握从设计文件到模块符号的创建过程。

二、实验原理

在层次化的设计文件中,经常需要将已经设计好的工程文件生成一个模块符号文件作为自己的功能模块符号在顶层调用,该符号就像图形设计文件中的任何其它宏功能符号一样可被高层设计重复调用。

三、实验内容

在实验中,时钟信号选取12KHZ做为数码管的扫描时钟,拨动开关输入一个预

置的八位数据,经过数控分频电路分频后得到一个较低的频率做为加法计数器(实

验一)的时钟频率进行计数器的加法运算。得到的值给数码显示译码电路在数码管

上显示出来。

四、实验步骤

1、打开QUARTUSII软件,新建一个工程。

2、其中,本实验的三个组成模块,分别为:

计数器模块(exp1.vhd),实验一。

数码显示译码器模块(ymq.vhd),;

数控分频器的设计(fpq.vhd)

3、对设计文件(exp1.vhd;ymq.vhd;fpq.vhd)进行模块符号文件的创建。

4、新建一个图形编辑文件,调用3中创建的模块符号,输入设计电路如图3-1所示。

图3-1 实验三的设计电路

5、编译仿真无误后,根据用户自己的要求进行管脚分配。分配完成后,再进行全

编译一次,以使管脚分配生效。

如果是调用的本书提供的VHDL代码,则实验连线如下:

CLK:FPGA时钟信号,接数字时钟CLOCK3,并将这组时钟设为1024HZ。

DATA[7..0]:分频数据输入信号,分别接拨动开关的S8-S1。

COUT:计数进位输出信号,接一个LED灯D1。

RET:计数复位信号,接一个按键开关K1。

LEDAG[6..0]:数码管显示信号,接数码管的G、F、E、D、C、B、A。

SEL[2..0]:数码管的位选信号,接数码管的SEL2、SEL1、SEL0。

6、根据实验内容用实验导线将上面管脚分配的FPGA管脚与对应的模块连接起

来。

7、用下载电缆将对应的sof文件加载到FPGA中。观察实验结果是否与自己的编程

思想一致。

五、实验现象与结果

以设计的参考示例为例,当设计文件加载到目标器件后,拨动八位拨动开关,使

其为一个数值,则八位数码管按一定的速率开始显示“0-F”,当数码管显示A-F时LED 灯LED1开始被点亮,显示其它数值时熄灭。按S1键显示的数值又从0开始,拨动八位拨动开关,置于其它数据,数码管的显示速率会发生改变。

六、实验报告

1、出仿真波形,并作说明。

2、自己设计文件,然后通过设计文件到模块符号文件的转换,设计自己的电路并

在实验系统中验证。进一步掌握这种方法。

3、写出在软件中通过其它方法从设计文件到模块符号文件的转换过程。

实验四矩阵键盘接口电路的设计

一、实验目的

1、了解普通4×4键盘扫描的原理。

2、进一步加深七段码管显示过程的理解。

3、了解对输入/输出端口的定义方法。

一、实验原理

实现键盘有两种方案:一是采用现有的一些芯片实现键盘扫描;再就是用软件实现键盘扫描。作为一个嵌入系统设计人员,总是会关心产品成本。目前有很多芯片可以用来实现键盘扫描,但是键盘扫描的软件实现方法有助于缩减一个系统的重复开发成本,且只需要很少的CPU 开销。嵌入式控制器的功能能强,可能充分利用这一资源,这里就介绍一下软键盘的实现方案。

图4-1 简单键盘电路

通常在一个键盘中使用了一个瞬时接触开关,并且用如图4-1 所示的简单电路,微处理器可以容易地检测到闭合。当开关打开时,通过处理器的I/O 口的一个上拉电阻提供逻辑1;当开关闭合时,处理器的/IO 口的输入将被拉低得到逻辑0。可遗憾的是,开关并不完善,因为当它们被按下或者被释放时,并不能够产生一个明确的1 或者0。尽管触点可能看起来稳定而且很快地闭合,但与微处理器快速的运行速度相比,这种动作是比较慢的。当触点闭合时,其弹起就像一个球。弹起效果将产生如图4-2 所示的好几个脉冲。弹起的持续时间通常将维持在5ms~30ms 之间。

如果需要多个键,则可以将每个开关连接到微处理器上它自己的输入端口。然而,当开关的数目增加时,这种方法将很快使用完所有的输入端口。

图4-2 按键抖动

键盘上阵列这些开关最有效的方法(当需要5 个以上的键时)就形成了一个如图4-3 所示的二维矩阵。当行和列的数目一样多时,也就是方型的矩阵,将产生一个最优化的布列方式(I/O 端被连接的时候)。一个瞬时接触开关(按钮)放置在每一行与线一列的交叉点。矩阵所需的键的数目显然根据应用程序而不同。每一行由一个输出端口的一位驱动,而每一列由一个电阻器上拉且供给输入端口一位。

图4-3 矩阵键盘

键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出4行为高电平,然后输出4列为低电平,在读入输出的4行的值,通常高电平会被低电平拉低,如果读入的4行均为高电平,那么肯定没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按

下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。

获取到行值和列值以后,组合成一个8位的数据,根据实现不同的编码在对每个按键进行匹配,找到键值后在7段码管显示。

二、实验内容

本实验要求完成的任务是通过编程实现对4X4矩阵键盘按下键的键值的读取,并

在数码管上完成一定功能(如移动等)的显示。

三、实验步骤

1、打开QUARTUSII软件,新建一个工程。

2、建完工程之后,再新建一个VHDL File,打开VHDL编辑器对话框。

3、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序,用户

可参照光盘中提供的示例程序。

参考程序:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

------------------------------------------------------------------

entity exp12 is

port( Clk : in std_logic; --时钟信号

Kr : in std_logic_vector(3 downto 0);

--键盘行

Kc : buffer std_logic_vector(3 downto 0);

--键盘列

a,b,c,d,e,f,g : out std_logic; --七段码管显示

Sa,sb,sc : buffer std_logic); --七段码管片选

end exp12;

------------------------------------------------------------------

architecture behave of exp12 is

signal keyr,keyc : std_logic_vector(3 downto 0);

signal kcount : std_logic_vector(2 downto 0);

signal dcount : std_logic_vector(2 downto 0);

signal kflag1,kflag2 : std_logic;

signal buff1,buff2,buff3,buff4,buff5,buff6,buff7,buff8 : integer range 0 to 15;

signal Disp_Temp : integer range 0 to 15;

signal Disp_Decode : std_logic_vector(6 downto 0);

begin

process(Clk) --扫描键盘

begin

if(Clk'event and Clk='1') then

if(Kr="1111") then

kflag1<='0';

kcount<=kcount+1;

if(kcount=0) then

kc<="1110";

elsif(kcount=1) then

kc<="1101";

elsif(kcount=2) then

kc<="1011";

else

kc<="0111";

end if;

else

kflag1<='1';

keyr<=Kr;

keyc<=Kc;

end if;

kflag2<=kflag1;

end if;

end process;

process(Clk) --显示右移

begin

if(Clk'event and Clk='1') then

if(kflag1='1' and kflag2='0' ) then

buff1<=buff2;

buff2<=buff3;

buff3<=buff4;

buff4<=buff5;

buff5<=buff6;

buff6<=buff7;

buff7<=buff8;

end if;

end if;

end process;

process(Clk) -- 获取键值

begin

if(Clk'event and Clk='1') then

if(kflag1='1' and kflag2='0') then

if(keyr="0111") then

case keyc is

when "0111"=>buff8<=15;

when "1011"=>buff8<=14;

when "1101"=>buff8<=13;

when "1110"=>buff8<=12;

when others=>buff8<=buff8; --no change end case;

elsif(keyr="1011") then

case keyc is

when "0111"=>buff8<=11;

when "1011"=>buff8<=10;

when "1101"=>buff8<=9;

when "1110"=>buff8<=8;

when others=>buff8<=buff8; --no change end case;

elsif(keyr="1101") then

case keyc is

when "1110"=>buff8<=4;

when "1101"=>buff8<=5;

when "1011"=>buff8<=6;

when "0111"=>buff8<=7;

when others=>buff8<=buff8; --no change end case;

elsif(keyr="1110") then

case keyc is

when "1110"=>buff8<=0;

when "1101"=>buff8<=1;

when "1011"=>buff8<=2;

when "0111"=>buff8<=3;

when others=>buff8<=buff8; --no change end case;

end if;

end if;

end if;

end process;

process(dcount)

begin

case (dcount) is

when "000"=>Disp_Temp<=buff1; --'-' when "001"=>Disp_Temp<=buff2;

when "010"=>Disp_Temp<=buff3;

when "011"=>Disp_Temp<=buff4;

when "100"=>Disp_Temp<=buff5;

when "101"=>Disp_Temp<=buff6;

when "110"=>Disp_Temp<=buff7;

when "111"=>Disp_Temp<=buff8; --'1'

end case;

end process;

process(Clk)

begin

if(Clk'event and Clk='1') then --扫描累加 dcount<=dcount+1;

a<=Disp_Decode(0);

b<=Disp_Decode(1);

c<=Disp_Decode(2);

d<=Disp_Decode(3);

e<=Disp_Decode(4);

f<=Disp_Decode(5);

g<=Disp_Decode(6);

sa<=dcount(0);

sb<=dcount(1);

sc<=dcount(2);

end if;

end process;

process(Disp_Temp) --显示转换

begin

case Disp_Temp is

when 0=>Disp_Decode<="0111111"; --'0' when 1=>Disp_Decode<="0000110"; --'1' when 2=>Disp_Decode<="1011011"; --'2' when 3=>Disp_Decode<="1001111"; --'3' when 4=>Disp_Decode<="1100110"; --'4' when 5=>Disp_Decode<="1101101"; --'5' when 6=>Disp_Decode<="1111101"; --'6' when 7=>Disp_Decode<="0000111"; --'7'

when 8=>Disp_Decode<="1111111"; --'8'

when 9=>Disp_Decode<="1101111"; --'9'

when 10=>Disp_Decode<="1110111"; --'A'

when 11=>Disp_Decode<="1111100"; --'b'

when 12=>Disp_Decode<="0111001"; --'C'

when 13=>Disp_Decode<="1011110"; --'d'

when 14=>Disp_Decode<="1111001"; --'E'

when 15=>Disp_Decode<="1110001"; --'F'

when others=>Disp_Decode<="0000000"; --全灭

end case;

end process;

end behave;

4、编写完VHDL程序后,保存起来。

5、对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。

6、编译仿真无误后,根据用户自己的要求进行管脚分配。分配完成后,再进

行全编译一次,以使管脚分配生效。

7、根据实验内容用实验导线将上面管脚分配的FPGA管脚与对应的模块连接

起来。

如果是调用的本书提供的VHDL代码,则实验连线如下:

Clk:FPGA工作时钟信号,接数字时钟CLOCK3,并设为1024HZ。

Kr[0:3]:分别接4×4键盘部分的R1、R2、R3和R4。

Kc[0:3]:分别接4×4键盘部分的C1、C2、C3和C4。

Sa、Sb、Sc:接七段码显示区的Sel0、Sel1和Sel2。

A、B、C、D、E、F、G:接七段码显示区的A、B、C、D、E、F和G

8、用下载电缆将对应的sof文件加载到FPGA中。观察实验结果是否与自己

的编程思想一致。

四、实验结果与现象

以设计的参考示例为例,当设计文件加载到目标器件后,按下矩阵键盘的某一个键,则在数码管上显示对应的这个键标识的键值,当再按下第二个键的时候前一个键的键值在数码管上左移一位。

五、实验报告

1、绘出不同的键值时的数码管的仿真波形,并作说明。

2、根据自己的思路,找一找还有没有其它方法进行键盘的扫描显示。并画出流程图。

1、将实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果记录下来。

实验五交通灯控制电路实验

一、实验目的

1、了解交通灯的燃灭规律。

2、了解交通灯控制器的工作原理。

3、熟悉VHDL语言编程,了解实际设计中的优化方案。

二、实验原理

交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。

要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通灯的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。

闪烁若干次后,再切换到东西路口方向,重复上述过程。

在实验中使用8个七段码管中的任意两个数码管显示时间。东西路和南北路的通车时间均设定为20s。数码管的时间总是显示为19、18、17……2、1、0、19、18……。

在显示时间小于3秒的时候,通车方向的黄灯闪烁。

三、实验内容

本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的1KHz时钟,黄灯闪烁时钟要求为2Hz,七段码管的时间显示为1Hz脉冲,即每1s中递减一次,在显示时间小于3秒的时候,通车方向的黄灯以2Hz的频率闪烁。系统中用K1按键

进行复位。

四、实验步骤

1、打开QUARTUSII软件,新建一个工程。

2、建完工程之后,再新建一个VHDL File,打开VHDL编辑器对话框。

3、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序,用户可

参照光盘中提供的示例程序。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

--------------------------------------------------------------------

entity exp16 is

port( Clk : in std_logic; --时钟输入

Rst : in std_logic; --复位输入

R1,R2 : out std_logic; --红灯输出

Y1,Y2 : out std_logic; --黄灯输出

G1,G2 : out std_logic; --绿灯输出

Display : out std_logic_vector(6 downto 0); --七段码管显示输出

SEG_SEL : buffer std_logic_vector(2 downto 0) --七段码管扫描驱动

);

end exp16;

--------------------------------------------------------------------

architecture behave of exp16 is

signal Disp_Temp : integer range 0 to 15;

signal Disp_Decode : std_logic_vector(6 downto 0);

signal SEC1,SEC10 : integer range 0 to 9;

signal Direction : integer range 0 to 15;

signal Clk_Count1 : std_logic_vector(9 downto 0); --产生0.5Hz时钟的分频计数器

signal Clk1Hz : std_logic;

signal Dir_Flag : std_logic; --方向标志

begin

process(Clk)

begin

if(Clk'event and Clk='1') then

if(Clk_Count1<1000) then

Clk_Count1<=Clk_Count1+1;

else

Clk_Count1<="0000000001";

end if;

end if;

end process;

选矿学实验指导书

矿业学院 《选矿学》 实验指导书 矿物加工教研室编写 适用专业:矿物加工工程 贵州大学 二OO 七年八月

《选矿学》程涵盖了矿产资源领域中近年来国内外选矿技术进展情况,本课程有主要包括了碎矿与磨矿、重力选矿、浮选、磁电选矿、综合性实验等基本部分,每个单元课程构成了整个选矿学的框架,每个课程单元着重介绍了该门课程的基本理论、方法以及发展趋势。 本课程设置的具体实验项目有:固体物料粒度分布测定、磨矿细度与磨矿时间的关系、摇床分选试验、粉煤灰浮选速度试验、磷矿石小型浮选试验、矿石中磁性矿物含量的测定、矿物磁电分选试验、实际矿石的分选,其中实际矿石的分选为综合性实验。 通过实验,要求同学不仅要熟悉实验基本操作技术,并且要熟悉实验方案的制定,掌握筛分、磨矿、摇床分选、浮选、磁电分选等主要知识,并学会综合运用这些知识解决实际矿石的分选。 本指导书可供矿物加工工程专业本科生及研究生使用。

实验一固体物料的粒度分布测定 (3) 实验二磨矿细度与磨矿时间的关系 (5) 实验三摇床分选试验 (7) 实验四粉煤灰浮选速度试验 (10) 实验五磷矿石小型浮选试验 (12) 实验六矿石中磁性矿物含量的测定 (14) 实验七矿物磁电分选试验 (16) 实验八实际矿石的分选 (19) 贵州大学实验报告 (21) 实验报告的基本内容及要求 (23)

实验一固体物料的粒度分布测定 实验学时:2 实验类型:验证 实验要求:必修 一、目的要求 1. 用筛分分析法测定矿石的粒度分布; 2. 学习绘制物料粒度特性曲线; 3. 了解和掌握筛析法测定矿石的粒度分布实验技术。 二、实验内容 利用套筛将宽级别物料分成若干窄级别物料,并称重计算相应产率和有用矿物分布率。 三、实验原理、方法和手段 用筛分的方法将矿石按粒度分成若干级别的粒度分析方法,叫筛分分析,简称筛析。 筛析是根据物料是否通过筛子的筛孔来进行的。物料在筛分时可能以不同的取向通过筛孔,在大多数情况下,物料的长度不会限制物料通过筛孔,而决定物料能否通过筛孔的是物料的宽度,因此,物料的宽度是与筛孔尺寸联系最密切的尺寸。 在矿物加工工程中,筛分是一种最古老、应用最广泛的粒度测定技术。筛分时,物料通过一套已校标准筛网的套筛,筛孔尺寸由顶筛至底筛逐渐减小。套筛是装在具有振动和摇动的振筛机上,振筛一段时间后,被筛分的物料分成一系列粒度间隔或粒级。如果用n个筛子,仅可将物料分成n+1个粒级,各粒级的物料粒度是以相邻两个筛子相应尺寸表示。 四、实验组织 根据本实验的特点、要求和具体条件,学生根据实验方法和原理自己操作。 五、实验条件 包括仪器设备:振筛机、分样套筛、药物天平、秒表、橡皮布、铲子等; 试样:粒度为-3.0mm的矿石。 六、实验步骤 1. 用四分法从矿石中取出试样300克,并称重; 2. 将分样套筛按筛孔大小从上至下逐渐减小的次序排列好,最下一层套一筛底; 3. 将称好重量的试样倒入最上层筛子内,然后盖上筛盖; 4. 把振筛机上的压盖手轮放松,提上到顶端,然后将套筛放入振筛机内,用压盖压紧并锁紧; 5. 接通振筛机马达电源,震动20-25分钟后,断开电源;

耕作学实验指导

耕作学实验指导书 河北农业大学农学院 作物栽培与耕作系 2005年8月修订

目录 实验一作物种类与复种形式的确定 (1) 实验二作物布局优化方法之——原理与基本方法 (5) 实验三作物布局优化方法之二—最优化计算机软件的应用 (10) 实验四轮作制度设计 (12) 实验五土地耕作制设计 (15) 实验六土壤施肥制的设计 (17) 附录1 选修实验目录 (32)

实验一种植制度的农业资源分析 ——作物类型与复种形式的确定 一、目的意义: 农业的稳产高产是以作物与其环境的高度统一与适应为基础的。依据当地的气候、土壤及生产经济条件确定所种植的作物种类及复种方式,是安排农业生产的首要问题。在我们还不能大面积控制作物环境的条件下,因地制宜,适地适作是农业费省效宏的有效手段。本实验旨在掌握各作物生态适应性及所规划地区生态条件的基础上,运用所掌握的生态学与耕作学知识,学会分析种植制度与资源关系的方法,为耕作制度设计奠定基础。 二、原则: 1.以作物与其环境的统一为总原则。不同地区在地理、地形、地貌、气候,土壤及生产条件诸方面存在差异,而各种作物又要求不同的生活环境,只有使作物与环境相互统一,组成—个协调的生态系统,作物才能稳产高产。 2.从大农业观出发,农林草综合发展,在充分利用农业资源,大力发展商品生产的同时,要积极保护农业资源,保证农业生态系统的良好循环,以同时获得高的经济效益和生态效益。 3.既要考虑因地制宜,适地适作,又要注意满足人民群众及社会的多种需要,在发展粮食生产的同时,发展经济作物、果品蔬菜及饲料绿肥作物的生产。 三、依据: 1.作物对热量的要求: 热量是决定作物种类与复种方式的首要条件。多种作物在其系统发育中形成了对热量要求的不同类型。因此,可将作物分为耐寒作物、低温作物、中温作物及喜温作物,它们对温度的要求如附表1。 某作物在此地能否种植,首先取决于当地生长季内的积温状况。当一个生长季内的积温除能满足—茬作物需要(考虑一定的保证率,—般80%以上)尚有剩余时,就可考虑复种。复种形式可根据热量及其它条件采取一年两熟、二年三熟等熟制类型。根据条件可采取套作复种或平作复种。以冬小麦为前茬的平作复种作需≥0℃积温,如附表2。 2.作物对水分的要求:

大地测量学实验指导书汇总

《大地测量学基础》实验指导书 XXX大学土木工程系测绘工程教研室 2010年7月

第一部分:实验与实习须知 控制测量学是测绘工程专业一门践性很强的专业主干课程,其实验与实习是教学中必不可少的重要环节。只有通过实验与实习,才能巩固课堂所学的基本理论,进而掌握仪器操作的基本技能和测量作业的基本方法,并为深入学习测绘专业理论和有关专业知识打下基础。在进行实验之前,必须明确实验的基本规定,了解仪器的借还手序及仪器的保护、保养等知识,做到爱护仪器,达到实习之目的,防患于未然。 实验与实习规定 1.在实验或实习之前,必须复习教材中的有关内容,认真仔细地预习本指导书,以明确目的、了解任务、熟悉实验步骤和过程、注意有关事项并准备好所需文具用品。 2.实验或实习分小组进行,组长负责组织协调工作,办理所用仪器工具和借领和归还手续。 3.实验或学习应在规定的时间进行,不得无故缺席或迟到早退;应在指定的场地进行,不得擅自改变地点或离开现场。 4.必须遵守“测量仪器工具的借领与使用规则”和“测量记录与计算规则”。 5.应该服从教师的指导,严格按照本指导书的要求认真、按时、独立地完成任务。每项实验或实习,都应取得合格的成果,提交书写工整规范的实验报告或实习记录,经指导教师审阅同意后,才可交还仪器工具,结束工作。 6.在实验或实习过程中,还应遵守纪律,爱护现场的花草、树木和农作物,爱护周围的各种公共设施,任意砍折、踩踏或损环者应予赔偿。 测量仪器工具的借领与使用规则 对测量仪器工具的正确使用、精心爱护和科学保养,是测量人员必须具备的素质和应该掌握的技能,也是保证测量成果质量、提高测量工作效率和延长仪器工具使用寿命的必要条件。在仪器工具的借领与使用中,必须严格遵守下列规定。 一、仪器工具的借领 1.在指定的地点凭学生证办理借领手续,以小组为单位领取仪器工具。 2.借领时应该当场清点检查。实物与清单是否相符,仪器工具及其附件是否齐全,背带及提手是否牢固,脚架是否完好等。如有缺损,可以补领或更换。 3.离开借领地点之前,必须锁好仪器箱并捆扎好各种工具;搬运仪器工具时,必须轻取轻放,避免剧烈震动。 4.借出仪器工具之后,不得与其他小组擅自调换或转借。

实验指导书 实验二_SolidWorks建模1

实验二 SolidWorks 草绘特征和放置特征操作(一) 一、 实验目的 1. 掌握基本零件建模的一般步骤和方法 2. 掌握SolidWorks 草绘特征:拉伸凸台、拉伸切除、旋转凸台、旋转切除、扫描、 放样的操作方法。 3. 掌握放置(应用)特征:钻孔特征、倒角特征、圆角特征、抽壳特征、拔模斜度特 征、筋的操作方法 二、 实验内容 完成下列下列零件造型 三、 实验步骤 1. 连接件设计 完成如图 1 (1) (2) 2 所示。 图 1连接件 图 2草图 (3) 单击【拉伸凸台/ 框内选择【两侧对称】选项,在【深度】文本框内输入“54mm ”,单击【确定】按钮,如图 3所示。 图 3 “拉伸”特征 (4) 120°”,然后 在第二参考中选择图形的一条下边线。单击【确定】按钮,建立新基准面,如

错误!未找到引用源。所示。 (5) 1,选择“反转法线” 1,单选择 4所示。 图4草图 图4建立基准面 底面边线

(6) 单击【拉伸凸台/ 列表框内选择【给定深度】选项,在【深度】文本框内输入“12mm”,单击【确定】按钮,如图5所示。 图5“拉伸”特征 (7)选取基体上表面,单击【草图绘制】进入草图绘制,使用中心线工具在 上表面的中心位置绘制直线,注意不要捕捉到表面边线,如图6所示。 图 6 中心线 (8) 内输入“8mm”,在图形区域选择中心线,在属性管理器中选中【添加尺寸】、【选择链】、【双向】和【顶端加盖】复选框,选中【圆弧】单选按钮,单击【确定】按钮,标注尺寸,完成草图,如图7所示。 运用“等距实体”绘制草图 (8) -拉伸】属性管理器,在【终止条件】下拉 列表框内选择【完全贯穿】选项,单击【确定】按钮,如图8所示。

岩相学实验

实验一偏光显微镜的构造、调节和使用 一、实验目的 1.熟悉偏光显微镜的构造及各部件的用途。 2.掌握偏光显微镜的调节和使用方法。 二、偏光显微镜的构造 偏光显微镜的型号繁多,但基本构造原理是类似的。主要由支架系统(机械系统)、放大系统、照明系统、载物台以及零件盒组成。 三、偏光显微镜的使用和调节 (1)安装镜头 (2)调节照明 推出上偏光镜和勃氏镜、打开锁光圈,调节光源光栏至理想视域亮度。 (3)调节焦距(准焦) 调节焦距主要是为了使物像清晰可见,其步骤如下: ①将欲观察的薄片置于物台上,使盖玻片朝上,薄片中的矿物正对物镜,并将薄片用夹子压紧在载物台上。 ②从侧面看着镜头,旋转粗动螺丝,将镜筒下降到最低位置(高倍物镜要下降到几乎与薄片接触为止)。 ③从目镜中观察、拧动粗动螺丝使镜筒缓缓上升,直至视域中物像清楚为止。如果物像不够清楚,可转动微动螺丝使之更清晰。 应当注意,物镜与薄片之间的工作距离因放大倍数而不同,低倍物镜工作距离长,高倍物镜工作距离短,所以调节高倍物镜的焦距时切忌只看镜筒里面而下降镜简,这样最容易压碎薄片而使镜头损坏。 (4)校正中心 偏光显微镜镜筒的轴应与载物台的旋转轴相一致,这样,视域中心的被测矿物才不至于在旋转物台后离开原来位置,甚至跑出视线之外,给鉴定工作带来不便。因此,偏光显微镜在使用前应进行中心校正,使镜筒铀与载物台转轴相重合。校正中心的具体步骤如下: ①准焦后,在薄片中任选一小黑点置于十字丝交点,如图1-2(a)。旋转物台360°,若在旋转物台过程中小黑点在十字丝交点始终不动,则表明镜筒轴与物台转轴重合,中心已校正好。若在物台旋转过程中小黑点离开十字丝交点或跑出视域之外,则表明中心不正。这时小黑点会围绕偏心O作圆周运动、如图1-2(b)所示。 ②若偏心不大,转动物台小黑点在视域内旋转出现时,这时应将小黑点由十字丝中心旋转180°至图1-2(c)中的a’处。

作物栽培学教学大纲

《作物栽培学实验》教学大纲 【课程编号】17315132 【英文名Experiment of Crop Culture 【课程学时】32学时,分为上下两个学期,每学期各16学时。 【适用专业】农学 一、本实验课程的教学目的和要求 本实验课程的教学目是在《作物栽培学》理论课程教学的基础上,培养学生在作物栽培方面的基本操作技能,为学生从事作物栽培、作物育种等方面的科学研究、作物生产技术创新、推广及相关生产实践活动打下基础,同时加深学生对相关理论教学内容的理解。本课程属于农学专业的专业必修课程。 本课程要求学生能熟练地将理论教学中的相关知识与实际操作相结合,能理解所开设实验的目的、意义及关键环节,有良好的动手能力,能较好地掌握各个实验的操作技能;同时逐步培养学生的创新能力和从事相关科学研究的能力,并能分析和解决科研和生产活动中的实际问题。 二、本实验课程与其它课程的关系 本实验课程以《作物栽培学》理论教学为基础,同时与《植物学》、《植物生理学》和《土壤肥料学》等课程紧密联系,必须把本实验课程与以这些课程的知识有机结合。还应将本课程内容与《作物栽培学教学实习》等实践性课程的内容相互完善和补充。 三、实验课程理论教学内容安排 本实验课不安排理论教学内容。 四、实验内容安排

实验一、小麦、油菜田间种植密度测定 【目的要求】掌握作物田间种植密度测定的基本方法 【内容】实测小麦、油菜的种植规格,计算其种植密度。 【方法】选择不同种植方式的小麦、油菜田各2-3块,学生分小组分别测定不同田块的行距、穴距或单位行段内的植株数,根据株、穴距或单位行段内的植株数计算单位面积种植密度,并做出比较。 实验二、小麦田间种植设计及全程生育动态观测 【目的要求】掌握小麦种植的基本程序和田间调查的方法,熟悉小麦全生育期的生育进程变化。 【内容】小麦播种及田间管理;调查小麦全生育期苗情动态,计算单位面积的最高苗、有效穗及成穗率等;观察和记载小麦拔节、孕穗、抽穗、开花、乳熟、蜡熟和完熟等重要生育时期及病虫害等情况。 【方法】学生先在教师指导下,自选小麦品种、自行设计种植方案,在指定地块按方案种植一定面积的小麦,并负责进行田间管理,在小麦整个生育期内分不同生育时期观测8—10次小麦茎蘖数,并根据小麦生育进程观察和记载上述内容。 实验三、水稻田间种植设计及全程生育动态观测 【目的要求】掌握水稻种植的基本程序和田间调查的方法,熟悉水稻全生育期的生育进程变化。 【内容】水稻育秧、移栽及田间管理;调查水稻全生育期苗情动态,计算单位面积的最高苗、有效穗及成穗率等;观察和记载水稻拔节、孕穗、抽穗、开花、乳熟、蜡熟和完熟等重要生育时期及病虫害等情况。 【方法】学生先在教师指导下,自选水稻品种、自行设计种植方案,在指定地块按方案培育水稻秧苗和大田移栽,并负责进行田间管理,在水稻整个生育期内分不同生育时期观测8—10次水稻茎蘖数,并根据水稻生育进程观察和记载上述内容。 实验四、主要农作物种子形态、结构观察和识别 【目的要求】熟悉主要农作物种子形态、结构 【内容】观察和比较水稻、小麦、玉米和花生种子的形态、结构。 【方法】以不同类型的水稻、小麦、玉米和花生等作物种子为材料,进行相应的预处理后在实验室观察和比较,分别以图示和文字相结合描述各种作物种子的主要形态特征。 实验五、主要农作物幼苗形态观察 【目的要求】熟悉主要农作物种子幼苗形态特征。 【内容】观察水稻、小麦、玉米、花生、蚕豆、豌豆、大豆等农作物种子的幼苗形态特征。 【方法】在盆栽条件下播种上述农作物种子,在发芽后分2-3个不同时期观察幼苗形态,分别以图示和文字相结合描述各种作物幼苗的主要形态特征。 实验六、常见农田杂草的识别与防除 【目的要求】熟悉冬、春常见农田杂草的名称、所属科及特征特性 【内容】观察常见冬、春农田杂草的主要种类及其特征,现场识别和采集不同种类农田杂草。

电工学实验指导书汇总Word版

电工学实验指导书 武汉纺织大学 实验一直流电路实验 (1)

实验二正弦交流电路的串联谐振 (4) 实验三功率因数的提高 (6) 实验四三相电路实验 (9) 实验五微分积分电路实验 (12) 实验六三相异步电动机单向旋转控制 (14) 实验七三相异步电动机正、反转控制 (16) 实验八单相桥式整流和稳压电路 (18) 实验九单管交流放大电路 (19) 实验十一集成运算放大器的应用 (24) 实验十二组合逻辑电路 (26) 实验十三移位寄存器 (29) 实验十四十进制计数器 (33)

实验一直流电路实验 一、实验目的: 1.验证基尔霍夫定律 2.研究线性电路的叠加原理 3.等效电源参数的测定 二、实验原理: 1.基尔霍夫定律是电路理论中最重要的定律之一,它阐明了电路整体结构必须遵守的定律,基尔霍夫定律有两条即电流定律和电压定律。 电流定律:在任一时刻,流入电路中任一节点的电流之和等于流出该节点的电流之和,换句话来说就是在任一时刻,流入到电路中任一节点的电流的代数和为零,即∑I=0。 电压定律:在任一时刻,沿任一闭合回路的循行方向,回路中各段电压降的代数和等于零,即 ∑U=0。 2.叠加原理:n个电源在某线性电路共同作用时,它们在电路中任一支路中产生的电流或在任意两点间所产生的电压降等于这些电源单独作用时,在该部分所产生的电流或电压降的代数和。三、仪器设备及选用组件箱: 1.直流稳压电源 GDS----02 GDS----03 2.常规负载 GDS----06 3.直流电压表和直流电流表 GDS----10 四、实验步骤: 1.验证基尔霍夫定律 按图1—1接线,(U S1、U S2分别由GDS---02,GDS---03提供)调节U SI=3V,U S2=10V,然后分别用电流表测取表1—1中各待测参数,并填入表格中。 2.研究线性电路的叠加原理 ⑴将U S2从上述电路中退出,并用导线将c、d间短接,接入U S1,仍保持3V,测得各项电流,电压,把所测数据填入表1—2中;

指导书

《地质学基础》 实验教案 绵阳师范学院资源环境工程学院 2013年3月

实验一矿物的形态和物理性质 (一)目的要求 1.认识矿物的形态和物理物理性质. 2.初步学习鉴定矿物形态和物理性质的方法并掌握系统描述矿物标本的一般方法,为以后鉴定矿物打下基础. (二)课前准备 1.预习:矿物的概念。矿物的单体形态和集合形态。矿物的光学性质、力学性质和其他性质。附录一相关内容。 2.用具:条痕板,小刀,摩氏硬度计,放大镜,手磁铁,稀盐酸,报告纸等。 (三)实验步骤和方法 先由教师讲解肉眼观察与描述矿物形态和物理性质的方法。然后,学生在教师指导下观察矿物标本,矿物形态、颜色、光泽和透明度以眼睛观察为主,其他矿物性质除详细观察外,按指定的方法进行实际操作。最后由学生独立观察和描述4块矿物标本并写出实验报告。(四)实验内容 1.矿物的单体形态和集合体形态 柱状、针状:石英(具柱面横纹)、电气石、绿柱石、辉锑矿(具晶面纵纹)。 纤维状、放身状:石棉、纤维石膏、红柱石。 板状、片状、鳞片状:石膏、黑钨矿、云母、辉钼矿、鳞片状石墨。 立方体、粒状:黄铁矿(具三组直交的晶面条纹)、橄榄石、石榴子石。 双晶:石膏(接触双晶)、氟石(穿插双晶)、纳长石(聚片双晶)。 晶簇:石英晶簇、方解石晶簇、氟石晶簇。 致密块状:辉铜矿、闪锌矿。 结核和鲕状体:钙结核、鲕状赤铁矿。 分泌体:玛瑙。 钟乳状和葡萄状体:钟乳石、肾状赤铁矿、葡萄状硬锰矿。 被膜状:孔雀石、蓝铜矿。 土状:铝土矿、高岭石。 2.矿物的颜色 红:辰砂(粉末)紫:紫水晶乳白:石英 肉红色:正长石橙:雄黄褐色:褐铁矿 铅灰:方铅矿砖红:赤铁矿黄:雌黄 黑:黑色电气石铜黄:黄铜矿铁黑:磁铁矿 绿:孔雀石灰:铝土矿铜红:自然铜 晕色:方解石、云母蓝:蓝铜矿白:方解石 黄绿:绿帘石锖色:斑铜矿 3.矿物的条痕色 樱红:赤铁矿。灰黑:方铅矿。绿褐:铬铁矿。绿黑:黄铁矿 4.矿物的光泽和透明度。 金属光泽不透明:黄铜矿、辉锑矿。 半金属光泽不透明:磁铁矿、鲕状赤铁矿。 金刚光泽半透明:浅色闪锌矿。 玻璃光泽透明:水晶。

选矿学

第一篇筛分、破碎与磨矿实验 实验一、搅拌磨影响因素试验 一、目的要求 1、熟悉搅拌磨的构造与操作 2、了解搅拌磨装矿量对磨机生产率的影响 3、了解磨矿浓度对搅拌磨生产率的影响 二、实验原理 搅拌磨的工作原理和工作过程是,研磨介质在高速旋转的搅拌棒或盘的带动下对研磨筒内物料施加剪切、摩擦和冲击力,导致物料粉碎和分散。在搅拌磨中,研磨介质不是做整体运动而是作不规则运动。这种不规则运动对物料施加三种作用力:(1)研磨介质之间的互相冲击产生的冲击力;(2)研磨介质的转动产生的摩擦和剪切力;(3)研磨介质填入搅拌棒或园盘所留下的空间而产生的撞击力。 三、实验设备 1、BJM-230A棒式搅拌磨矿机 2、400目筛子,小于80目筛子 3、天平、铲子、量筒 4、CS1012型电热鼓风干燥箱等 四、实验步骤 A 装矿量试验: 1、取试样8千克,用四分法分成八等份,每份1000克,另将其中一份1000克样再用四分法分成500克两份,从而配成500克、1000克、1500克、2000克4份试验样。 2、按液固比1:1分别将上面矿样按先加水后加矿石的顺序装入磨机,启动磨 机,磨矿10分钟后,将磨机中物料倒出,清洗干净为止。 3、将4个磨机产品在检查筛上进行筛析,筛上物料进行烘干、称重。 4、将数据填入装矿量试验数据表。 B 磨矿浓度试验 1、取试样16千克,用用四分法分成八等份,每份2000克 2、按液固比0.5:1、1:1、1.5:1、2:1的条件将2000克矿样按先加矿石后加水的顺序装入磨机,启动磨机,磨矿10分钟后将磨机中物料倒出,清洗磨机干净为止。 3、将4个磨机产品在检查筛上进行筛析,筛上物料进行烘干、称重。 4、将数据填入磨矿浓度试验数据表。 五、试验结果及数据处理

农学专业本科人才培养方案

农学专业本科人才培养方案 一、专业名称:农学(Agronomy);专业代码:090101 二、培养目标:培养具备作物生产、作物遗传育种及种子科学等方面的基本理论、基本知识和基本技能,能在农业及其他相关的部门、企业或单位从事与农学有关的技术与设计、推广与开发、经营与管理、教学与科研等工作的应用型、复合型科学技术人才。 三、培养要求:本专业毕业生应具有良好的思想品德、社会公德和职业道德,具有宽厚的人文社会科学和自然科学的基本知识,掌握农业生物科学、农业生态科学、作物生长发育和遗传规律、作物育种、栽培、耕作、种子及农业推广等方面的基本理论和基本知识,受到作物生产和作物新品种选育等方面的基本训练,具有作物育种、作物栽培和耕作、种子生产与检验等方面的基本能力。 毕业生应获得以下几方面的知识和能力: 1. 具备扎实的数学、物理、化学等基本理论知识; 2. 掌握生物学科和农学学科的基本理论、基本知识; 3. 掌握农业生产,特别是作物生产的技能和方法; 4. 具备农业可持续发展的意识和基本知识,了解农业生产和科学技术的前沿和发展趋势; 5. 熟悉农业生产,了解农村、农业、农民及有关方针政策; 6. 掌握科技文献检索、资料查询的基本方法,具有一定的科学研究和实际工作能力; 7. 掌握种子生产、种子检验、种子加工与贮藏和经营管理的技术、原理和方法; 8. 有较强的调查研究与决策、组织与管理、口头与文字表达能力,具有独立获取知识、信息处理和创新的基本能力; 9. 掌握农业推广的基本方法、手段,通过试验、示范、培训、指导以及咨询服务等,把农业技术普及应用于农业生产的产前、产中、产后全过程。 四、主干学科:作物学。 五、主要课程:植物学,植物生理学,生物化学,微生物学,气象学,遗传学,土壤肥料学,植物保护学,试验统计方法,作物栽培学,作物育种学,种子学,耕作学等。 六、主要实践教学环节:军事技能训练,思政实践,社会实践,农学实践,教学实习,生产实习,科学研究能力训练,毕业实习及毕业论文等。 七、学制与修业年限:标准学制4年,修业年限3-6年。 八、授予学位:农学学士。 九、毕业学分要求:最低修读171学分,其中课内教学不低于129学分,实践教学不低于42学分。 十、课程设置及教学进程表:

测试技术实验指导书及实验报告2006级用汇总

矿压测试技术实验指导书 学号: 班级: 姓名: 安徽理工大学 能源与安全学院采矿工程实验室

实验一常用矿山压力仪器原理及使用方法 第一部分观测岩层移动的部分仪器 ☆深基点钻孔多点位移计 一、结构简介 深基点钻孔多点位移计是监测巷道在掘进和受采动影响的整个服务期间,围岩内部变形随时间变化情况的一种仪器。 深基点钻孔多点位移包括孔内固定装置、孔中连接钢丝绳、孔口测读装置组成。每套位移计内有5~6个测点。其结构及其安装如图1所示。 二、安装方法 1.在巷道两帮及顶板各钻出φ32的钻孔。 2.将带有连接钢丝绳的孔内固定装置,由远及近分别用安装圆管将其推至所要求的深度。(每个钻孔布置5~6个测点,分别为;6m、5m、4m、3m、2m、lm或12m、10m、8m、6m、4m、2m)。 3.将孔口测读装置,用水泥药圈或木条固定在孔口。 4。拉紧每个测点的钢丝绳,将孔口测读装置上的测尺推至l00mm左右的位置后,由螺丝将钢丝绳与测尺固定在一起。 三、测试方法 安装后先读出每个测点的初读数,以后每次读得的数值与初读数之差,即为测点的位移值。当读数将到零刻度时,松开螺丝,使测尺再回到l00mm左右的位置,重新读出初读数。 ☆顶板离层指示仪 一、结构简介: 顶板离层指示仪是监测顶板锚杆范围内及锚固范围外离层值大小的一种监测仪器,在顶板钻孔中布置两个测点,一个在围岩深部稳定处,一个在锚杆端部围岩中。离层值就是围岩中两测点之间以及锚杆端部围岩与巷道顶板表面间的相对位移值。顶板离层指示仪由孔内固定装置、测量钢丝绳及孔口显示装置组成如图1所示。

二、安装方法: 1.在巷道顶板钻出φ32的钻孔,孔深由要求而定。 2.将带有长钢丝绳的孔内固定装置用安装杆推到所要求的位置;抽出安装杆后再将带有短钢丝绳的孔内固定装置推到所要求的位置。 3.将孔口显示装置用木条固定在孔口(在显示装置与钻孔间要留有钢丝绳运动的间隙)。 4.将钢丝绳拉紧后,用螺丝将其分别与孔口显示装置中的圆管相连接,且使其显示读数超过零刻度线。 三、测读方法: 孔口测读装置上所显示的颜色,反映出顶板离层的范围及所处状态,显示数值表示顶板的离层量。☆DY—82型顶板动态仪 一、用途 DY-82型顶板动态仪是一种机械式高灵敏位移计。用于监测顶底板移近量、移近速度,进行采场“初次来压”和“周期来压”的预报,探测超前支撑压力高 峰位置,监测顶板活动及其它相对位移的测量。 二、技术特征 (1)灵敏度(mm) 0.01 (2)精度(%) 粗读±1,微读±2.5 (3)量程(mm) 0~200 (4)使用高度(mm) 1000~3000 三、原理、结构 其结构和安装见图。仪器的核心部件是齿条6、指针8 以及与指针相连的齿轮、微读数刻线盘9、齿条下端带有读 数横刻线的游标和粗读数刻度管11。 当动态仪安装在顶底板之间时,依靠压力弹簧7产生的 弹力而站立。安好后记下读数(初读数)并由手表读出时间。 粗读数由游标10的横刻线在刻度管11上的位置读出,每小 格2毫米,每大格(标有“1”、“22'’等)为10毫米,微读数 由指针8在刻线盘9的位置读出,每小格为0.01毫米(共200 小格,对应2毫米)。粗读数加微读数即为此时刻的读数。当 顶底板移近时,通过压杆3压缩压力弹簧7,推动齿条6下 移,带动齿轮,齿轮带动指针8顺时针方向旋转,顶底板每 移近0.01毫米,指针转过1小格;同时齿条下端游标随齿条 下移,读数增大。后次读数减去前次读数,即为这段时间内的顶底板移近量。除以经过的时间,即得

R语言实验指导书(二)

R语言实验指导书(二) 2016年10月27日

实验三创建和使用R语言数据集 一、实验目的: 1.了解R语言中的数据结构。 2.熟练掌握他们的创建方法,和函数中一些参数的使用。 3.对创建的数据结构进行,排序、查找、删除等简单的操作。 二、实验内容: 1.向量的创建及因子的创建和查看 有一份来自澳大利亚所有州和行政区的20个税务会计师的信息样本 1 以及他们各自所在地的州名。州名为:tas, sa, qld, nsw, nsw, nt, wa, wa, qld, vic, nsw, vic, qld, qld, sa, tas, sa, nt, wa, vic。 1)将这些州名以字符串的形式保存在state当中。 2)创建一个为这个向量创建一个因子statef。 3)使用levels函数查看因子的水平。 2.矩阵与数组。

i.创建一个4*5的数组如图,创建一个索引矩阵如图,用这个索引矩 阵访问数组,观察结果。 3.将之前的state,数组,矩阵合在一起创建一个长度为3的列表。

4.创建一个数据框如图。 5.将这个数据框按照mpg列进行排序。 6.访问数据框中drat列值为3.90的数据。

三、实验要求 要求学生熟练掌握向量、矩阵、数据框、列表、因子的创建和使用。

实验四数据的导入导出 一、实验目的 1.熟练掌握从一些包中读取数据。 2.熟练掌握csv文件的导入。 3.创建一个数据框,并导出为csv格式。 二、实验内容 1.创建一个csv文件(内容自定),并用readtable函数导入该文件。 2.查看R语言自带的数据集airquality(纽约1973年5-9月每日空气质 量)。 3.列出airquality的前十列,并将这前十列保存到air中。 4.查看airquality中列的对象类型。 5.查看airquality数据集中各成分的名称 6.将air这个数据框导出为csv格式文件。(write.table (x, file ="", sep ="", https://www.doczj.com/doc/282532619.html,s =TRUE, https://www.doczj.com/doc/282532619.html,s =TRUE, quote =TRUE)) 三、实验要求 要求学生掌握从包中读取数据,导入csv文件的数据,并学会将文件导出。

煤矿地质学实验指导书

煤矿地质学 实验指导书 资源与地球科学学院 目录 实验一矿物 实验二火成岩 实验三沉积岩与变质岩 实验四煤的肉眼鉴定 实验五读图方法及读倾斜岩层地区地质图并作剖面实验六读褶皱地区地质图并作剖面 实验七编制勘探线剖面图 实验八编制煤层顶板等高线图——剖面法 实验九编制断煤交线图和水平切面图

实验一矿物 一、目的与要求 1. 通过观察,了解矿物形态和物理性质。 2. 通过观察,熟悉和掌握矿物的描述、鉴定方法。 3. 掌握常见矿物的基本鉴定特征。 二、实验内容 石墨、黄铁矿、滑石、石膏、方解石、萤石、磷灰石、正长石、斜长石、石英、方铅矿、普通角闪石、黑云母、白云母、普通辉石、高岭石、橄榄石、石榴子石、黄铜矿、赤铁矿、磁铁矿 三、观察与描述内容 观察和描述矿物标本以如下顺序进行: 单体形态、集合体形态、颜色、条痕色、透明度、光泽、解理(包括组数、完全程度)、断口、硬度(用小刀、指甲刻划比较)、比重(用手掂重)、最后命名。 四、注意事项 1. 实验前注意复习有关内容。 2. 观察时注意相似矿物之间的比较。 五、作业 1. 描述部分标本。 2. 比较黄铁矿和黄铜矿的区别?

实验二火成岩 一、目的与要求 1. 通过实验认识常见的火成岩的结构、构造。复习常见火成岩的造岩矿物的鉴定特征以及火成岩类型及其特征(见教材71页表2-4)。 2. 掌握火成岩的观察、鉴定和描述方法,了解火成岩命名方法。 3. 通过观察,了解常见火成岩的宏观鉴定特征。 二、实验内容 观察以下标本,并做好观察记录: 超基性岩类:橄榄岩 基性岩类:辉长岩、玄武岩 中性岩类:闪长岩、安山岩 酸性岩类:花岗岩、流纹岩 三、观察与描述内容 观察、鉴定辉长岩标本时,按以下顺序进行观察和描述,最好利用表格形式:颜色、结构、矿物成分及其百分含量、其它特点(如次生变化、孔洞、裂隙等)、命名。 四、作业 描述上述标本 实验三沉积岩与变质岩 一、目的与要求

耕作学实习报告

青岛农业大学 本科生实习报告 报告题目耕作学实习报告 学生学院农学与植物保护学院 专业班级农学1204 姓名学号闫董丰20124927

耕作学实习报告 一、实习目的:通过对莱阳某农村的种植制度以及养地制度调查访问,即对莱阳校区实习基地的调查了解,进一步学习种植制度以及养地制度的主要内容及相关技术。 二、实习时间:2015年10月27日 三、实习地点:莱阳市某农村莱阳校区实习基地 四、实习内容 此次实习首先回顾了课堂相关知识,进而在老师指导下对照的种植制度和养地制度进行了参观调查,由于实习时间为十月份,因此我们观察到有些土地是刚刚收获上一茬作物但还没有种植下茬作物的。 进而在老师指导下,对当地农民进行了采访,采访内容主要有: 1、自然条件 莱阳市地处位于胶东半岛腹地,东北与烟台市接壤,西南与青岛市毗邻,南临黄海,是北温带东亚季风区。大陆度为64.3%,属大陆季风型半湿润性气候。具有光照充足,四季分明,春季风多易旱,夏季炎热多雨,秋季昼暖夜凉,冬季寒冷干燥的特点。全市年平均降水量为800MM,年平均气温11.2°,全市平均无霜期173天。全市总面积1734平方公里,耕地面积120万亩。境内土壤划分为棕壤、褐土、潮土、砂姜黑土、盐土、风砂土6个土类,11个土壤亚类,18个土属,137个土种。 2、作物种植概况 莱阳农学院实验基地及附近农户土地地势为平坦,紧邻咸河,雨水充足,少量来自农户家庭生活用水,水质较好,不含对作物有害的污染物。基地灌溉条件设施良好,大部分是旱涝保收,只有少部分地势低洼的地方难排水而常年有积水。 从整体上来看,实验田主要以玉米,小麦等粮食作物为主,花生,大豆等经济作物为辅,饲料作物很少。 (1)光照、热量、水对资源等自然资源的利于状况较好,但仍有可改进

操作系统实验指导书汇总

操作系统实验指导书 东北大学软件学院 2008年10月

实验要求 (1)预习实验指导书有关部分,认真做好实验的准备工作。 (2)实验中及时分析记录。 (3)按指导书要求书写实验报告,提交打印版(A4)。 实验的验收将分为两个部分。第一部分是上机操作,包括检查程序运行和即时提问。第二部分是提交的实验报告。

实验一进程调度(4学时) 一、实验目的 在采用多道程序设计的系统中,往往有若干个进程同时处于就绪状态。当就绪进程个数大于处理机数时,就必须依照某种策略来决定哪些进程优先占用处理机。本实验模拟在单处理机情况下的处理机调度,帮助学生加深了解处理机调度的工作。 二、实验类型 设计型。 三、预习内容 预习课本处理机调度有关内容,包括进程占用处理机的策略方法。 四、实验内容与提示 本实验中共有两个实验题。 第一题:编写并调试一个模拟的进程调度程序,采用“最高优先数优先”调度算法对五个进程进行调度。 <一>最高优先级优先调度算法 1)优先级简介 动态优先数是指在进程创建时先确定一个初始优先数,以后在进程运行中随着进程特性的改变不断修改优先数,这样,由于开始优先数很低而得不到CPU 的进程,就能因为等待时间的增长而优先数变为最高而得到CPU运行。 例如:在进程获得一次CPU后就将其优先数减少1。或者,进程等待的时间超过某一时限时增加其优先数的值,等等。 2)详细设计 优先权调度算法: 1、设定系统中有五个进程,每一个进程用一个进程控制块( PCB)表示,

进程队列采用链表数据结构。 2、进程控制块包含如下信息:进程名、优先数、需要运行时间、已用 CPU时间、进程状态等等。 3、在每次运行设计的处理调度程序之前,由终端输入五个进程的“优 先数”和“要求运行时间”。 4、进程的优先数及需要的运行时间人为地指定。进程的运行时间以时 间片为单位进行计算。 5、采用优先权调度算法,将五个进程按给定的优先数从大到小连成就 绪队列。用头指针指出队列首进程,队列采用链表结构。 6、处理机调度总是选队列首进程运行。采用动态优先数办法,进程每 运行一次优先数减“1”,同时将已运行时间加“1”。 7、进程运行一次后,若要求运行时间不等于已运行时间,则再将它加 入就绪队列;否则将其状态置为“结束”,且退出就绪队列。 8、“就绪”状态的进程队列不为空,则重复上面6,7步骤,直到所 有进程都成为“结束”状态。 9、在设计的程序中有输入语句,输入5个进程的“优先数”和“要求 运行时间”,也有显示或打印语句,能显示或打印每次被选中进程 的进程名、运行一次后队列的变化,以及结束进程的进程名。10、最后,为五个进程任意确定一组“优先数”和“要求运行时间”,运行并调试所设计的程序,显示或打印出逐次被选中进程的进程名及其进程控制块的动态变化过程。

实验指导书实验二_SolidWorks建模1

实验二SolidWorks草绘特征和放置特征操作(一) 一、实验目的 1.掌握基本零件建模的一般步骤和方法 2.掌握SolidWorks草绘特征:拉伸凸台、拉伸切除、旋转凸台、旋转切除、扫描、放样的操 作方法。 3.掌握放置(应用)特征:钻孔特征、倒角特征、圆角特征、抽壳特征、拔模斜度特征、筋的 操作方法 二、实验内容 完成下列下列零件造型 三、实验步骤 1. 连接件设计 完成如图1所示模型。 (1)单击【新建】按钮一1,新建一个零件文件。 (2)选取前视基准面,单击【草图绘制】按钮一I,进入草图绘制,绘制草图,如图2 所示。 图1连接件图2草图 ⑶ 单击【拉伸凸台/基体】按钮,出现【拉伸】属性管理器,在【方向】下拉列表 框内选择【两侧对称】选项,在【深度】文本框内输入" 54mm ”,单击【确定】 按钮,如图3所示。 (4)单击【基准面】按钮一1,出现【基准面】属性管理器,其中第一参考选择图形下底面, 然后单击【两面夹角】按钮日,在【角度】文本框内输入"120°,然后在第二参考中选择 图形的一条下边线。单击【确定】按钮¥,,建立新基准面,如

错误!未找到引用源。所示。 图4建立基准面 (5) 在设计树中右击基准面 1选择“反转法线” 卜,然后再单击基准 面 1单选择 【草图绘制】按钮 ,进入草图绘制,单击【正视于】按钮 ,绘制草图,如图 4所示。 边线 底面 图4草图

(6) 单击【拉伸凸台/基体】按钮 ,出现【拉伸】属性管理 器,在【终止条件】下拉 列表框内选择【给定深度】选项,在【深度】文本框内输入“ 12mm ”,单击【确 定】按钮1 如图5所示。 (7) 选取基体上表面,单击【草图绘制】 按钮_1,进入草图绘制,使用中心线工具 上表面的中心位置绘制直线,注意不要捕捉到表面边线,如图 6所示。 图6中心线 (8) 单击【等距实体】按钮丄,出现【等距实体】属性管理器,在【等距距离】文本框 内输入 “8mm ”,在图形区域选择中心线, 在属性管理器中选中 【添加尺寸】、【选 择链】、【双向】和【顶端加盖】复选框,选中【圆弧】单选按钮,单击【确定】 按钮 ,标注尺寸,完成草图,如图 7所示。 律黑 __________________ 严 玄[B 总 -召 厂[.砲 r 韦歼左眛編◎也 17比自口 R an (A ) 广 Efetfi- 图_7运用“等距实体”绘制草图 (8)单击【拉伸切除】按钮 □,出现【切除-拉伸】属性管理器,在【终止条件】下拉 列表框内选择【完全贯穿】选项,单击【确定】按钮 ,如图8所示。 图5 “拉伸”特征

岩相学与晶体学期末考试试题极其答案

晶体: 内部质点在三度空间成周期性重复排列的固体或者说晶体是具有格子状构造的固体。空间格子: 晶体内部质点在空间作格子状排列。空间格子要素: 质点,行列,网面,平行六面体。形成过程: (非)自发形成晶芽。对称: 物体相同部分有规律的重复。对称要素: 对称面,对称中心,对称轴,旋转反身轴。对称型: 全部对称要素的组合。单形: 以对称要素联系着的一组晶面的总称,依空间格子规律,格子中相同面网所形成的总和。 聚形: 两个以上的单形的聚合。三大晶族: 低xx。七大晶系: 三斜,单斜,四方,三方,六方,等轴。晶面符号: 晶体定向后,晶面在空间的相对位置即可根据它与晶轴的关系予以确定。这种相对位置可以用一定的符号来表征。表征晶面空间方位的符号,称为晶面符号。整数定律: 晶面在晶轴上的截距系数之比为简单整数比。 矿物中的水: 吸附水,结晶水,沸石水,层间水,结构水。类质同象:

晶体结构中某种质点为他种类似的质点所替代,仅使晶格常数发生不大的变化。同质多象: 同种化学成分的物质,在不同的物理化学条件下,形成不同结构的晶体现象。矿物物理性质: 光学(颜色,条痕,透明度,光泽)、力学(解理,压电性,热点性,介电性)、热学性质、磁学、矿物的放射性、其他(挥发性,易燃性,吸水性)。硅酸盐结构类型: 岛(镁橄榄石,锆石),环(绿柱石,电气石),链(透辉石,透闪石),层(滑石,高岭石,云母),架状结构(霞石,石榴石)。钾长石: 肉红色、玻璃光泽,厚板状晶体,有 (001)(010)两组完全解理,无断口,解理夹角近似90度,硬度 6。"xx: 乳白色成白色、油脂光泽、铁污染后表面为黄褐色、无解理、有贝壳状断口、常见六方柱和六方双锥的聚行晶体、本多为致密快状**体,硬度 7。" 光率体: 光波震动方向与相应折射率值之间关系的一种光性指示体。显微镜调节:1装卸镜头、2调节照明灯光、3调节焦距、4校正中心、5视域直径的测定6目镜十字丝的检查7偏光镜的校正。贝克线成因: 相邻两物质折射率值不同,光通过接触界面时发生折射、反射作用引起。提高镜筒,贝克线向折射率大的物质移动。突起等级: h< 1."48负高、 1.48—

《耕作学》课程教学大纲

《耕作学》课程教学大纲 课程名称:耕作学学分:2.5总学时:40 理论学时40实验学时0课程类别:专业课课程性质:必修课 适用层次:本科开课学期:8适用专业:农学 先修课程:植物学、植物生理学、农业微生物学、基础生物化学、农业气象学、土壤学、农业昆虫学、农业经营管理、植物营养与肥料、植物病理学、作物育种学、作物栽培学I、农业生态学 后续课程:种子生产学、试验数据的计算机处理、荒漠土壤培肥与改良、现代农业专题 一、课程性质、地位和任务 1、课程性质: 耕作学以作物栽培学、植物生理学、土壤学、农业生态学、农业经济学、农业气象学等学科为基础,研究并阐明耕作制度形成、发展、演进和改革的规律,探讨气候、作物、土壤之间以及它们和农业技术措施之间的辨证关系,而达到既充分利用当地的自然资源好社会资源,提高农作物的单产,又积极保护农业自然资源,改善环境,培养地力,为作

物稳产提供良好的土壤、气候、环境的一门生产性、综合性很强的学科。本课程是农学专业必修课。 2、课程的地位: 耕作学把农业生产当作一个系统,从农业发展的全局研究综合的农业技术体系,解决农业生产在时间、空间上的优化问题,是一门综合性农业应用科学,主要培养学生具有现代化农业的总体战略观点和组织指导生产的能力,提高学生综合分析问题和解决问题的能力,辩证地、全面地认识和分析农业生产问题。因此本课程在农学专业的学生培养方面具有重要的作用。 3、课程的任务: 耕作学在性质上属于自然科学,但它与社会经济及相关学科有着十分密切的关系,其内容包括作物结构与布局、复种、间混套作、轮连作以及与之相适应的提高土地生产力的对策,又属应用科学,有较强的技术性,同时也包含农业宏观决策管理等一些软科学内容。耕作学的任务就是在自然与社会条件下建立稳产、高产、优质、高效率和低成本的耕作制度。 二、教学目标及要求 耕作学是农学及其他相关农科专业的重要专业课,是一门生产性、综合性很强的应用科学。农学专业的学生在学习《耕作学》这一课程时应了解耕作学发展的阶段、耕作制度

C语言实验指导书(刘联海20131106)

《C语言程序设计》实验指导书

目录 实验1 C语言编程环境和运行C程序的方法 (1) 实验2 简单的顺序结构程序设计 (3) 实验3 数据类型和表达式 (5) 实验4 选择结构程序设计 (7) 实验5 循环结构程序设计(1) (10) 实验6 循环结构程序设计(2) (13) 实验7 数组 (16) 实验8 函数 (18)

实验1 C语言编程环境和运行C程序的方法 一、实验目的 1、熟悉Visual C6.0集成开发环境的使用方法; 2、熟悉C语言程序从编辑、编译、连接到最后运行得到结果的过程及各过程的作用; 3、了解C语言程序的结构特征与书写规则,能够编写简单的C程序; 4、初步理解程序调试的思想,能找出并改正C程序中的语法错误。 二、实验内容 1、熟悉上机环境 ⑴熟悉VC++6.0集成环境,重点是其中常用菜单、工具按钮的功能; ⑵在D盘建立一个自己的工作文件夹,文件夹以班名+学号后两位+姓名来命名(如:应用1105张三);分别创建、编辑并运行下列程序,初步熟悉C源程序的结构特点和运行方式。 #include main() { printf(“This is my first program!\n") ; } 2、编写一个程序实现分两行输出自己的姓名及联系电话。 3、程序改错调试 以下给定程序的功能是在屏幕上显示“Welcome to You!”,其中存在3处错误,请指出错误所在,并调试程序使其能运行得到正确结果。 #include mian() //1 { printf(Welcome to You!\n") //2 } 4、选做题 ⑴自学教材P47内容以及附录B中的字符与ASCII码对照表,编程输出以下图形: ? ? ⑵编程输出如下通讯录功能菜单界面

相关主题
文本预览
相关文档 最新文档