当前位置:文档之家› 数电实验手册1

数电实验手册1

数电实验手册1
数电实验手册1

实验一译码器及其应用

一、实验目的

1、掌握译码器的测试方法。

2、了解中规模集成译码器的功能,管脚分布,掌握其逻辑功能。

3、掌握用译码器构成组合电路的方法。

4、学习译码器的扩展。

二、实验设备及器件

1、数字逻辑电路实验板 1块

2、74HC138 3-8线译码器 2片

3、74HC20 双4输入与非门 1片

三、实验原理

1、中规模集成译码器74HC138

74HC138是集成3线-8线译码器,在数字系统中应用比较广泛。图3-1是其引脚排列。

其中 A

2、A

1

、A

为地址输入端,0Y~7Y为译码输出端,S

1

、2S、3S为使能端。表3-1为

74HC138真值表。

表3-1 74HC138真值表

图3-1 74HC138引脚

74HC138

74HC138工作原理为:当S 1=1,S 2+S 3=0时,电路完成译码功能,输出低电平有效。其中:

2、译码器应用

因为74HC138 三-八线译码器的输出包括了三变量数字信号的全部八种组合,每一个输

出端表示一个最小项,因此可以利用八条输出线组合构成三变量的任意组合电路。

四、实验内容

1、译码器74HC138 逻辑功能测试

(1)控制端功能测试

测试电路如图3-2所示。按表3-2所示条件输入开关状态。观察并记录译码器输出状态。LED 指示灯亮为0,灯不亮为1。

控制端功能测试

图3-2 74HC138逻辑功能测试电路

(2)逻辑功能测试

将译码器使能端S 1、2S 、3S 及地址端A2、A1、A0 分别接至逻辑电平开关输出口,八个输出端07Y Y ???依次连接在逻辑电平显示器的八个输入口上,拨动逻辑电平开关,按表3-3逐项测试74HC138的逻辑功能。

74HC138

表3-3 74HC138逻辑功能测试

2、用74HC138实现逻辑函数

Y=AB+BC+CA

如果设A2=A ,A1=B ,A0=C ,则函数Y 的逻辑图如3-3所示。用74HC138和74HC20各一块在实验箱上连接图3-3线路。并将测试结果记录表3-4中。

表3-4 函数功能测试

图3-3用74HC138组成函数Y

3、用两个3线-8线译码器构成4线-16线译码器。

利用使能端能方便地将两个 3/8译码器组合成一个4/16译码器,如图3-4所示。

图3-4 用两片74HC138组合成4/16译码器

Y 8

Y 9Y 10Y 11Y 12Y 13Y 14Y 15

Y 0Y 1

Y 2

Y 3

Y 4

Y 5

Y 6

Y 7

012

3

74HC138

五、实验注意事项

1、注意集成电路输入控制端和输出控制端的信号。

2、74HC138集成块搭接中注意输出信号的处理。

六、实验报告要求

1、整理有关实验数据,总结利用MSI器件设计组合逻辑电路的方法。

2、写出用两片3线-8线译码器74HC138组成4线-16线译码器的设计过程。

实验二加法器

一、实验目的

1、掌握半加器、全加器的工作原理及逻辑功能。

2、掌握集成加法器的应用。

二、实验设备及器件

1、数字逻辑电路实验板 1块

2、74HC283 1片

3、74HC04 1片

4、74HC00 1片

5、74HC86 1片

三、实验原理

1、半加器

不考虑低位进位,只本位相加,称半加。实现半加的电路,为半加器。

2、全加器

考虑低位进位的加法称为全加。实现全加的电路,为全加器。

3、多位加法器

(1)串行多位加法

(2)并行多位加法

四、实验内容与步骤

1、用门电路实现一位全加器。

参照图5-1搭接电路,并测试其功能记录结果在表5-1中。

表5-1 全加器真值表

图5-1小规模集成电路设计的全加器

2、用数据选择器实现全加器。

参照图5-2搭接电路,并观察电路的功能。

图5-2 用74HC138设计的全加器

3 用集成加法器74HC283实现代码转换电路。

要求:设计一个四位全加器电路,能够完成8421码到余三码的转换。

74HC283的引脚图如图5-4所示,按图5-5搭接电路,并将观察输出记录结果于表5-2。

图5-4 74HC283的引脚排列图5-5 8421码转换成余三码电路

表5-2

五、实验注意事项

注意74HC153控制端的信号。

六、实验报告要求

1、写出用门电路实现全加器的设计过程,并记录实验结果。

2、写出用数据选择器实现全加器的设计过程,并记录实验结果。

3、写出用译码器实现全加器的设计过程,并记录实验过程。

4、写出用用集成加法器74HC283实现代码转换电路的设计过程,并记录实验结果。

实验三计数器逻辑功能测试及应用

一、实验目的

1、熟悉中规模集成电路计数器74HC90的逻辑功能,使用方法及应用。

2、掌握构成任意进制计数器的方法。

二、实验设备及器件

1、数字逻辑电路实验板

2、74HC161同步加法二进制计数器 1片。

3、74HC00二输入四与非门 1片。

三、实验原理

计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。

计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。

1、集成计数器74HC161

集成计数器74HC90是四位二进制计数器,其管脚排列如图7-1,功能表如表7-1。

图7-1 74HC161管脚排列

2.利用集成计数器芯片可方便地构成任意(N)进制计数器方法:

1)反馈归零法:是利用计数器清零端的清零作用,截取计数过程中的某一个中间状态控制清零端,使计数器由此状态返回到零重新开始计数。把模数大的计数器改成模数小的计数器。其关键是清零信号的选择与芯片的清零方式有关,异步清零方式以N作为清零信号或反馈识别码,其有效循环状态

为0~N-1;同步清零方式以N-1作为反馈识别码,其有效循环状态为0~N-1。还要注意清零端的有效电平,以确定用与门还是与非门来引导。

2)反馈置数法:是利用具有置数功能的计数器,截取从Nb到N a之间的N个有效状态构成N进制计数器。其方法是当计数器的状态循环到Na时,由Na构成的反馈信号提供置数指令,由于事先将并行置数数据输入端置成了Nb 的状态,所以置数指令到来时,计数器输出端被置成Nb,再来计数脉冲,计数器在Nb基础上继续计数直至Na,又进行新一轮置数、计数,其关键是反馈识别码的确定与芯片的置数方式有关。异步置数方式以Na =Nb+N作为反馈识别码,其有效循环状态为Nb~Na;同步置数方式以N a =Nb+N-1作为反馈识别码,其有效循环状态为N b~Na。还要注意置数端的有效电平,以确定用与门还是与非门来引导。

四、实验内容

1、测试74HC161的逻辑功能,用数码显示管显示。并记录结果于表7-2(完)

表7-2

2、74HC161芯片构成十六进制计数器

3、用反馈归零法将74HC161构成一个十进制计数器。

参考图7-2接电路,并画出状态转换图。

图7-2

4、利用74HC161构成一个二十四进制的计数器,并用数码显示管显示。

五、实验注意事项

1、集成块功能端有效的状态。

2、实现其他进制计数器的时候注意中断状态和反馈线的处理。

六、实验报告要求

按要求完成上述内容,并总结计数器设计的方法及多级计数器级连有哪些规律?

实验四寄存器功能测试及应用

一、实验目的

1、熟悉寄存器的电路结构和工作原理。

2、掌握集成移位寄存器74HC194的逻辑功能和使用方法。

二、实验设备及器件

1、数字逻辑电路实验板

2、74HC74双D触发器 2片

3、74HC04六反相器1片。

4、74HC164四位双向通用移位寄存器1片。

三、实验原理

移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。

本实验选用的4位双向通用移位寄存器,型号为CC40194或74HC194,两者功能相同,可互换使用,其逻辑符号及引脚排列如图8-1所示。功能表如表8-1所示。

74HC194

图8-1 74HC194引脚排列

其中D0、D1、D2、D3为并行输入端;Q0、Q1、Q2、Q3为并行输出端;S R为右移串行输入端,S L为左移串行输入端;S1、S0为操作模式控制端;R

C为直接无条件清零端;

CP为时钟脉冲输入端。

四、实验内容与步骤

1、利用两块74HC74(四个D 触发器)构成一个单向的移位寄存器。 参照图8-2搭接电路,观察并记录结果于表8-2。

图8-2 右移移位寄存器

表8-2

2、验证74HC194的功能,观察左移、右移功能。 按图

8

-3接线,R C 、S1、S0、SL 、SR 、D0、 D1、D2、D3分别接至逻辑开关的输出插口;Q0、 Q1、Q2、Q3接至逻辑电平显示输入插口。CP 端 接单次脉冲源。按表8-1所规定的输入状态, 逐项进行测试。

图8-3 74LS194逻辑功能测试

3、用74HC194组成七位串行输入转换为并行输出电路。(完)

按图8-4接线,进行右移串入、并出实验,串入数码自定;改接线路用左移方式实现并行输出。

图8-4 串并转换电路

转换前,R C端加低电平,使1、2两片寄存器的内容清0,此时S1S0=11,寄存器执行并行输入工作方式。当第一个CP脉冲到来后,寄存器的输出状态Q0~Q7为01111111,与此同时S1S0变为01,转换电路变为执行串入右移工作方式,串行输入数据由1片的S R端加入。随着CP脉冲的依次加入,输出状态的变化可列在表8-3中。

表8-3

由表8-3可见,右移操作七次之后,Q7变为0,S1S0又变为11,说明串行输入结束。这时,串行输入的数码已经转换成了并行输出了。

当再来一个CP脉冲时,电路又重新执行一次并行输入,为第二组串行数码转换作好了准备。

五、实验注意事项

1、注意集成块功能端有效的状态。

2、使用移位寄存器的时候注意左移和右移的方向。

六、实验报告要求

按要求完成上述内容,并总结时序电路特点。

实验五数字时钟的设计

一、实验目的

1、掌握各芯片的逻辑功能及使用方法。

2、掌握数字钟的设计方法和和计数器相互级联的方法。

3、掌握数字系统的设计和数字系统功能的测试方法。

4、掌握数字系统的制作和布线方法。

二、设计任务

1、数字钟具有显示分、秒的功能;

2、有校时功能,可以对分进行校时,使其校正到标准时间;

3、计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时,报时声音四低一高;

并且要求走时准确。

三、参考器件

74HC90,74HC47,74HC51,74HC30,74HC08,74HC04

四、实验原理

1、设计总体框图如图13.1

图13.1

2、各部分单元的设计提示与分析:

1)时钟源

它是数字式时钟的核心,它保证了时钟的走时准确及稳定。2Hz的脉冲信号由CPLD输出的信号经分频得到。

2)时间计数单元

时间计数单元有分计数和秒计数等几个部分。

分计数和秒计数单元为60进制计数器,其输出为8421BCD码。

本实验可以采取74HC90 ,用两块芯片进行级联来产生60进制计数器。

秒个位计数单元为10进制计数器,无需进制转换,只需将Q0与CP1(下降沿有效)相连即可。CP1(下降没效)与1HZ秒输入信号相连,Q3可作为向上的进位信号与秒十位计数单元的CP1相连。

秒十位计数单元为6进制计数器,需要进制转换。其中Q2可作为向上的进位信号与分个位的计数单元的CP0相连。

分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,也是分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CP0相连,如果有时计数单元的话,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CP0相连。

3)译码驱动及显示单元

计数器实现了对时间的累计以8421BCD码形式输出,选用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,可以选用74HC47作为显示译码电路,可以选用八段共阳LED数码管作为显示单元电路。

4)校时电路

当重新接通电源或走时出现误差时都需要对时间进行校正。通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。

5)整点报时电路

一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时,以示提醒。其作用方式是发出连续的或有节奏的音频声波,较复杂的也可以是实时语音提示。

根据要求,电路应在整点前10秒钟内开始整点报时,即当时间在59分51秒到59分59秒期间时,报时电路输出控制信号。

五、实验要求

按照设计任务设计电路,然后在仿真软件上进行虚拟实验,正确后在实验板上搭建实验电路,观察显示是否正确,如果不正确排除故障直至正确为止。最后一步是撰写实验报告,整理文档,对实验进行总结。

附录

电路板介绍

1,主实验板功能部件分布图:

2,CPLD信号源板的功能部件分布图:

3,信号源板的输出信号介绍:8脚与晶振的输出相连,

7脚输出6KHz,

6脚输出0.5Hz,

17脚输出1Hz

16脚输出2Hz

15脚输出4Hz

数字电路实验基础知识

一.实验的基本过程

实验的基本过程,应包括确定实验内容,选定最佳的实验方法和实验线路,拟出较好的实验步骤,合理选择仪器设备和元器件,进行连接安装和调试,最后写出完整的实验报告。

在进行数字电路实验时,充分掌握和正确利用集成元件及其构成的数字电路独有的特点和规律,可以收到事半功倍的效果,对于完成每一个实验,应做好实验预习,实验记录和实验报告等环节。

(一)实验预习

认真预习是做好实验的关键,预习好坏,不仅关系到实验能否顺利进行,而且直接影响实验效果,预习应按本教材的实验预习要求进行,在每次实验前首先要认真复习有关实验的基本原理,掌握有关器件使用方法,对如何着手实验做到心中有数,通过预习还应做好实验前的准备,写出一份预习报告,其内容包括:

1.绘出设计好的实验电路图,该图应该是逻辑图和连线图的混合,既便于连接线,又反映电路原理,并在图上标出器件型号、使用的引脚号及元件数值,必要时还

须用文字说明。

2.拟定实验方法和步骤。

3.拟好记录实验数据的表格和波形座标。

4.列出元器件单。

(二)实验记录

实验记录是实验过程中获得的第一手资料,测试过程中所测试的数据和波形必须和理论基本一致,所以记录必须清楚、合理、正确,若不正确,则要现场及时重复测试,找出原因。实验记录应包括如下内容:

1. 实验任务、名称及内容。

2. 实验数据和波形以及实验中出现的现象,从记录中应能初步判断实验的正确性。

3. 记录波形时,应注意输入、输出波形的时间相位关系,在座标中上下对齐。

4. 实验中实际使用的仪器型号和编号以及元器件使用情况。

5. 实验报告

实验报告是培养学生科学实验的总结能力和分析思维能力的有效手段,也是一项重要的基本功训练,它能很好地巩固实验成果,加深对基本理论的认识和理解,从而进一步扩大知识面。

实验报告是一份技术总结,要求文字简洁,内容清楚,图表工整。报告内容应包括实验目的、实验内容和结

果、实验使用仪器和元器件以及分析讨论等,其中实验内容和结果是报告的主要部分,它应包括实际完成的

全部实验,并且要按实验任务逐个书写,每个实验任务应有如下内容:

1) 实验课题的方框图、逻辑图(或测试电路)、状态图,真值表以及文字说明等,

对于设计性课题,还应有整个设计过程和关键的设计技巧说明。

2) 实验记录和经过整理的数据、表格、曲线和波形图,其中表格、曲线和波形图

应利用三角板、曲线板等工具描绘,力求画得准确,不得随手示意画出。

3) 实验结果分析、讨论及结论,对讨论的范围,没有严格要求,一般应对重要的

实验现象,结论加以讨论,以使进一步加深理解,此外,对实验中的异常现象,

可作一些简要说明,实验中有何收获,可谈一些心得体会。

二. 实验中操作规范和常见故障检查方法

实验中操作的正确与否对实验结果影响甚大。因些,实验者需要注意按以下规程进行。

1. 搭接实验电路前,应对仪器设备进行必要的检查校准,对所用集成电路进行功能测

试。

2. 搭接电路时,应遵循正确的布线原则和操作步骤(即要按照先接线后通电,做完后,

先断电再拆线的步骤)。

3. 掌握科学的调试方法,有效地分析并检查故障,以确保电路工作稳定可靠。

4. 仔细观察实验现象,完整准确地记录实验数据并与理论值进行比较分析。

5. 实验完毕,经指导教师同意后,可关断电源拆除连线,整理好放在实验箱内,并将

实验台清理干净、摆放整洁。

(一) 布线原则:

应便于检查,排除故障和更换器件。

在数字电路实验中,有错误布线引起的故障,常占很大比例。布线错误不仅会引起电路故障,严重时甚至会损坏器件,因此,注意布线的合理性和科学性是十分必要的,正确的布线原则大致有以下几点:

1. 接插集成电路时,先校准两排引脚,使之与实验底板上的插孔对应,轻轻用力将

电路插上,然后在确定引脚与插孔完全吻合后,再稍用力将其插紧,以免集成电

路的引脚弯曲,折断或者接触不良。

2. 不允许将集成电路方向插反,一般IC的方向是缺口(或标记)朝左,引脚序号从

左下方的第一个引脚开始,按逆时钟方向依次递增至左上方的第一个引脚。

3. 导线应粗细适当,一般选取直径为0.6~0.8mm的单股导线,最好采用各种色线以

区别不同用途,如电源线用红色,地区用黑色笔。

4. 布线应有秩序地进行,随意乱接容易造成漏接错接,较好的方法是接好固定电平

点,如电源线、地线、门电路闲置输入端、触发器异步置位复位端等,其次,在

按信号源的顺序从输入到输出依次布线。

5. 连线应避免过长,避免从集成元件上方跨接,避免过多的重叠交错,以利于布线、

更换元器件以及故障检查和排除。

6. 当实验电路的规模较大时,应注意集成元器件的合理布局,以便得到最佳布线,

布线时,顺便对单个集成元件进行功能测试。这是一种良好的习惯,实际上这样

做不会增加布线工作量。

数电实验报告1

实验一门电路逻辑功能及测试 一、实验目的 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路的引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1.1置位,分别测出电压及逻辑状态。(表1.1) 输入输出 1 2 3 4 Y 电压(V) H H H H 0 0.11 L H H H 1 4.23 L L H H 1 4.23 L L L H 1 4.23 L L L L 1 4.23

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A ﹑B ﹑Y 接电平显示发光二极管。 (2)将电平开关按表1.2置位,将结果填入表中。 表 1.2 3、逻辑电路的逻辑关系 (1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分别填入表1.3﹑表1.4。 输入 输出 A B Y Y 电压(V ) L L L L 0 0 0 0.16 H L L L 1 0 1 4.18 H H L L 0 0 0 0.17 H H H L 0 1 1 4.18 H H H H 0 0 0 0.17 L H L H 1 1 0.17 输入 输出 A B Y L L 0 L H 1 H L 1 H H 输入 输出 A B Y Z L L 0 0 L H 1 0 H L 1 0 H H 1

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

数字电视实验1

数字电视实验1

第一次实验 模拟彩色全电视信号观测实验 一. 实验仪器 1.JH8000DTV 数字电视实验系统装置 一台 2.配置计算机 一台 3.模拟彩色电视信号发生器 一台 4.示波器 一台 二. 实验目的 观察模拟彩色电视信号发生器送出的各类彩色电视信号,并用示波器测量各类信号的特点。 三. 实验步骤 图1.3.1 1.把模拟彩色电视信号发生器的输出端口接入视频A/D,D/A 转换模块的外接端口和地线端。 2.开启JH8000DTV 数字电视实验系统装置总电源,开启视频A/D,D/A 转换模块电源开关,注意关闭DVD 电源,转换开关1按下 3.开启模拟彩色电视信号发生器的电源,变换信号发生器的图像输出选总电源 电电电

图1.3.4 (2)将示波器探头接到解码板左下方“图像输出”接口。 (3)按下DVD“暂停”按键,选定一副静止图像,分别改变基本设置的亮度、对比度、色饱和度、色调各参数值,观察监视器图像的变化和示波器波形变化 (4)选择“高级设置”按钮,分别改变高级的设置的相关参数:输入信号、电视制式、场信号模式、场信号标识以及行有效像素值,观察监视器图像的变化和示波器波形变化 (5)选择视频源为“摄像机”,(可以将摄像机对准标准电视测试卡或对准层次丰富的图象),重复上述(2)、(3)步骤 四.实验要求 1.记录不同参数值时图像的变化,并分析结果。 2.解释行有效像素值与图像水平宽度之间的对应关系 实验二亮色延时实验 一.实验仪器 1.JH8000DTV数字电视实验系统装置一台 2.配置计算机一台 3.数字存储示波器一台 4.标准电视信号发生器一台

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

数字电子技术基础实验指导书

『数字电子技术基础实验指导书』 实验一实验设备认识及门电路 一、目的: 1、掌握门电路逻辑功能测试方法; 2、熟悉示波器及数字电路学习机的使用方法; 3、了解TTL器件和CMOS器件的使用特点。 二、实验原理 门电路的静态特性。 三、实验设备与器件 设备 1、电路学习机一台 2、万用表两快 器件 1、74LS00 一片(四2输入与非门) 2、74LS04 一片(六反向器) 3、CD4001 一片(四2输入或非门) 四、实验内容和步骤 1、测试74LS04的电压传输特性。按图1—1连好线路。调节电位器,使V I 在0~+3V间变化, 记录相应的输入电压V 1和输入电压V 的值。至少记录五组数据,画出电压传输特性。 2、测试四二输入与非门74LS00的输入负载特性。测试电路如图1—2所示。请用万用表测 试,将V I 和V O 随R I 变化的值填入表1—1中,画出曲线。 表1-1 3、测试与非门的逻辑功能。 测量74LS00二输入与非门的真值表:将测量结果填入表1—2中。

表1—2 4、测量CD4001二输入或非门的真值表,将测量结果填入表1-2中。 注意CMOS 电路的使用特点:应先加入电源电压,再接入输入信号;断电时则相反,应先测输入信号,再断电源电压。另外,CMOS 电路的多余输入端不得悬空。 五、预习要求 1、阅读实验指导书,了解学习机的结构; 2、了解所有器件(74LS00,74LS04,CD4001)的引脚结构; 3、TTL 电路和CMOS 电路的使用注意事项。 图1-1 图1-2 300V O

一、实验目的 1、学习并掌握小规模芯片(SSI)实现各种组合逻辑电路的方法; 2、学习用仪器检测故障,排除故障。 二、实验原理 用门电路设计组合逻辑电路的方法。 三、实验内容及要求 1、用TTL与非门和反向器实现“用三个开关控制一个灯的电路。”要求改变任一开关状态都能控制灯由亮到灭或由灭到亮。试用双四输入与非门74LS20和六反向器74LS04和开关实现。测试其功能。 2、用CMOS与非门实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。 要求如下: 人类由四种基本血型— A、B、AB、O型。输血者与受血者的血型必须符合下述原则;O 型血可以输给任意血型的人,但O型血的人只能接受O型血;AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血;A型血能给A型与AB型血的人;而A型血的人能够接受A型与O型血;B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果输血者的血型符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型 3、TTL与非门和反向器实现一组逻辑电路,其功能自行选定。 四、实验设备及器件 1、数字电路学习机一台 2、74LS20 三片(双四输入与非门) 3、74LS04 一片(六反向器) 4、CD4011 两片(四二输入与非门) 五、预习要求 1、自行设计电路,画出接线图(用指定器件设计)。 2、制定测试逻辑功能方案,画出必要的表格。

数电实验-实验报告-实验六

实验一 TTL与非门的参数测试 一、实验目的 ·掌握用基本逻辑门电路进行组合逻辑电路的设计方法。 ·通过实验,验证设计的正确性。 二、实验原理 1.组合逻辑电路的分析: 所谓组合逻辑电路分析,即通过分析电路,说明电路的逻辑。 通常采用的分析方法是从电路的输入到输出,根据逻辑符号的功能逐级列出逻辑函数表达式,最好得到表示输出与输入之间的关系的逻辑函数式。然后利用卡诺图或公式化简法将得到的函数化简或变换,是逻辑关系简单明了。为了使电路的逻辑功能更加直观,有时还可以把逻辑函数式转化为真值表的形式。 2.逻辑组合电路的设计: 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单电路,陈伟组合逻辑电路的设计。 3.SSI设计:设计步骤如下: ①逻辑抽象;分析时间的因果关系,确定输入和输出变量。 ②定义逻辑状态的含义:以二值逻辑0、1表示两种状态。 ③列出真值表 ④写出逻辑表达式,并进行化简,根据选定器件进行转换。 ⑤画出逻辑电路的连接图。 ⑥实验仿真,结果验证。 三、实验仪器及器件 数字万用表1台

多功能电路实验箱1台 四、实验内容 1.设计5421BCD 码转换为8421BCD 码(用双输入端与非门实现)。 四位自然二进制码 5421BCD码 B3 B2 B1 B0 D3 D2 D1 D0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 1 0 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 1 1 1 0 1 0 伪码 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 根据5421BCD 码与8421BCD 码真值表可得 2.设A 、B 、C 、D 代表四位二进制变量,函数X=8A-4B+2C+D ,试设计一个组合逻辑电路,判断当函数值介于4

模电实验指导书test2

实验一、常用仪器的使用及常用器件的认识、检测一、实验目的 1.学习电子电路实验中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等的技术指标、性能及正确使用方法。 2.初步掌握双踪示波器观察正弦信号波形和读书波形参数的方法。 3.认识常见的电子元器件及其检测方法。 二、实验原理 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等。它们和万用电表在一起,可以完成对模拟电子电路的静态与动态工作情况的测试。 实验中要对各中电子仪器进行综合使用,可按照信号流向,一连先简捷,调节顺手,观察与读数方便等原则进行合理布局,个仪器与被册实验装置之间的布局与连线如图1——1所示。接线是应注意,为了防止外界的干扰,各仪器的公共接地端应连接在一起,称共地。信号源和交流伏安表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 1.示波器 在本书实验附录中已对常用的GOS-620型双踪示波器的原理和使用做了较详细的说明,先着重指出下列几点: 1)寻找扫描光迹点 在开机半分钟后,如还找不到光点,可调节亮度旋钮,并按下“寻迹”键,从中判断光点的位置,然后适当调节垂直(↑↓)和水平()移位旋钮,将光点移至荧光屏的中心位置。 2)为了显示稳定的波形,需注意示波器面板上的下列几个控制开关(或旋钮)的位置。 a、“扫描速率”开关(t/div)——它的位置应根据被观察信号的周期来确定。 b、“触发源的选择”开关(内、外)——通常选为内触发。 c、“内触发源的选择”开关(拉YB)——通常至于常态(推进位置)。此时对单一从 YA或YB输入的信号均能同步,仅在作双路同时显示时,为比较两个波形的相对位置,才将其置于拉出(拉YB )位置,此时触发信号仅取自YB,故仅对YB输入的信号同

数字电路实验 计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 姓名:黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

数电实验指导书(2016年14级)

实验一:门电路实验 一、实验目的: 熟悉、掌握门电路的逻辑功能 二、实验仪器和设备: 1、TPE-D6型数字电路学习机2、数字万用表 三、实验原理及主要知识点 1.与非门_____ AB F =(有0出1,全1出0) 2.与或非门___ __________CD AB F +=(画真值表自行总结) 3.或门B A F +=(有1出1,全0出0) 四、实验步骤 实验前的准备:在学习机上未接任何器件的情况下(指实验用插座部分),先合上交流电源,检查5V 电源是否正常,再合直流电源测V CC 处电压是否正常,测两排插口中间V CC 插口处电压是否正常,全正常后断开全部电源。 随后选择好实验用集成片,查清集成片的引腿及功能,然后根据实验图接线,特别注意V CC 及地的接线不能接错,待老师检查后方可接通电源进行实验,以后所有实验依此办理。 (一) 测与非门的逻辑功能 1、选双4输入正与非门74LS20集成芯片一只;选择一个组件插座(片子先不要插入)按图接好线。 2、输入端接电平开关输出插口,输出端接发光二极管显示插口。 3、拨动电平开关,按表中情况分别测出输出端电平。 (二)、测与异或门的逻辑功能 1、选两路四输入与或非门电路74LS55集成芯片一只;选择一个组件插座(片子先不要插入)按图接线。 4 双4输入正与非门74LS20

2、 (三)根据摩根定理或门的逻辑函数表达式B A Z +=,可以写成B A Z ?=,因此可以用三个与非门构成或门。 (1) 将由三个与非门构成的或门测试电路画在下面空白处。 (2) 当输入端(A 、B )为下列情况时,分别测输出端(Z )的电位,将结果填入表中。 五、实验思考题及实验报告要求 整理实验数据,并对数据进行分析,根据实验观察到的现象,回答下列问题。 1与非门在什么情况下输出高电平?什么情况下输出低电平?TTL 与非门不用的输入端应如何处理? 2与或非门在什么情况下输出高电平?什么情况下输出低电平?TTL 与或非门不用的与门应如何处理? 实验二 组合逻辑电路实验 一、实验目的 (一) 掌握组合逻辑电路的分析方法 (二) 验证半加器的逻辑功能 (三) 了解二进制数的运算规律 二、实验仪器及设备 (一) TPE-D6型数字电路学习机 (二)数字万用表 三、实验原理及主要知识点 组合逻辑电路的分析是根据所给的逻辑电路,写出其输入与输出之间的逻辑关系(逻辑函数表达式或 4个二输入异或门74LS86

数电实验报告1-数电实验报告实验一

实验一门电路逻辑功能及测试 一、实验目得 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路得引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机就是否正常,然后选择实验用得集成电路,按自己设计得实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1、1置位,分别测出电压及逻辑状态。(表1、1)

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A﹑B﹑Y接电平显示发光二极管。 (2)将电平开关按表1、2置位,将结果填入表中。 表1、2 3、逻辑电路得逻辑关系

(1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分 别填入表1、3﹑表1、4。 (2)写出上面两个电路得逻辑表达式。 表1、3 Y=A ⊕B 表1、4 Y=A ⊕B Z=AB 4、逻辑门传输延迟时间得测量 用六反相器(非门)按图1、5接线,输80KHz 连续脉冲,用双踪示波器测输入,输出相位差,计算每个门得平均传输延迟时间得tpd 值 : tpd =0、2μs/6=1/30μs 5、利用与非门控制输出。 选用四二输入与非门74LS00一只,插入面包板,输入接任一电平开关,用示波器观察S对输出脉冲得控制作用: 一端接高有效得脉冲信号,另一端接控制信号。只有控制信号端为高电平时,脉冲信号才能通过。这就就是与非门对脉冲得控制作用。 6.用与非门组成其她门电路并测试验证 (1)组成或非门。 用一片二输入端与非门组成或非门 Y = A+ B = A ? B 画出电路图,测试并填表1、5 中。 表1、5 图如下: (2)组成异或门 ① 将异或门表达式转化为与非门表达式。 A ⊕B={[(AA)'B]'[A( B B)']}' ② 画出逻辑电路图。 ③ 测试并填表1、6。表1、6

数电实验报告1

用可编程逻辑器件设计组合逻辑电路 一、实验目的 1.掌握译码器的功能和应用 2.掌握数据选择器的功能和应用 二.实验方案 ㈠ 1. 有一密码锁有三个按键,分别是A、B、C。当三个键都按下时,或当只有A,B其中一个键按下时;或当有A,B两个键同时按下时,锁打开(用F表示开锁信号)。而当有键按下却不符合上列组合状态时,将发出报警信号(用G表示报警信号) 2.设计用3个开关控制一个电灯的逻辑电路,要求改变任何一开关的状态都能控制电灯由亮变灭或由灭变亮。要求用数据选择器实现。 3.用74LS138和门电路设计1位二进制全减器电路。输入为被减数、减数和来自低位的借位,输出为两数之差和向高位的借位信号。 三.实验步骤 (1)画出真值表: 密码锁的逻辑功能表: 1

电灯的逻辑功能表: 全减器的逻辑功能表:

(2)写出逻辑表达式: 密码锁的逻辑表达式: 1Y=[1C0(A'B')+1C1(A'B)+1C2(AB')+1C3(AB)]1GN2Y=[2C0(A'B')+2C1(A'B)+2C2(AB')+2C3(AB)]2GN全减器的逻辑表达式: Y=CI’P’K+CI’PK’+CIP’K’+CIPK C0= CI’P’K+CIP’K’+CIPK+CIP’K (3)画出电路原理图 密码锁的电路图,用74153实现:

电灯的电路图,用74153实现: 全减器的电路图,用74138实现: 四.时序仿真:

用Quatus2 仿真得到的波形如下: 五.实验验证:分析仿真图波形和真值表结果以及在开发板上的演示结果,完全吻合,故此次设计正确。 六.总结: 本次的实验看上去简单,但对于逻辑电路的应用需要更熟练。74LS138的功能是将每个输入的二进制代码译成对应的输出高,低电平的信号,它是编码的反操作。在实验过程中因为要控制更多的输入和观察记录等更多的输出,每一步都要准确无误才会得到正确的结果。对双四选一数据选择器74LS153的使用相对困难。首先是原理的理解,其次是线路的分配。把74LS138和74LS153综合运用起来才能实现多通道数据传输。应该先对电路的数字逻辑进行详细的分析,可以提高学习的效率也能加强对实验的理解。

数字电路实验考试参考题目

数字电路实验考试参考题目 1.请采用两种方法(分别用与非门器件和数据选择器)设计一个三人表决器。 2.请采用两种方法(分别用与非门器件和数据选择器)设计一个四人表决器。 3.采用数据选择器(74LS151)设计完成下列逻辑函数: F1=A BC+A B D+B C D+AC D; F2=ABC+BCD+ACD+ABD 4.利用JK触发器设计一个异步四进制计数器(可采用74LS73),并用示波器观测电路输 入、输出波形。 5.设计一个模21的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 6.设计一个模22的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 7.设计一个模23的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 8.设计一个模24的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 9.设计一个模25的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 10.设计一个模20的计数器(可采用74LS390或74LS192等),用发光二极管观察电路的 所有有效计数状态;并用示波器观测计数器的输入输出端波形。 11.采用移位寄存器设计一个具有自启动功能的四位环形计数器,记录电路所有状态(包括 由偏离态进入有效循环的过程),并画出状态转移图。 12.设计一个具有自启动功能的、有效状态分别为1000,0100,0010,0001的四位右移环 形计数器。 13.设计一个具有自启动功能的、有效状态分别为0001,0010,0100,1000的四位左移环 形计数器。 14.设计一个具有自启动功能的、有效状态分别为1110,1101,1011,0111的四位左移环 形计数器。 15.设计一个具有自启动功能的、有效状态分别为1110,0111,1011,1101的四位右移环 形计数器。 16.设计一个具有自启动功能的、有效状态分别为1100,1001,0011,0110的四位左移环 形计数器。 17.设计一个具有自启动功能的、有效状态分别为1100,0110,0011,1001的四位右移环 形计数器。 18.采用2MHZ的晶体振荡器、与非门、电阻等器件设计一个晶体稳频多谐振荡电路,经 分频后,电路输出脉冲信号频率为1MHZ。 19.采用555定时器设计电路,要求输出一个频率为1KHZ的脉冲信号,并用示波器观测电 路输出波形。 20.采用大规模集成存储器、编程器、计数器等元件和设备,设计完成一个八路彩灯控制电 路。 (可能还有小范围调整,请大家继续关注网站通知)

高电压技术实验指导书_学生用_

实验一.电介质绝缘特性及电击穿实验 一.实验目的: 观察气隙击穿、液体击穿以及固体沿面放电等现象及其特点,认识其发展过程及影响击穿电压的各主要因素,加深对有关放电理论的理解。 二.预习要点: 概念:绝缘;游离;电晕;电子崩;流注;先导放电;自持放电;滑闪放电;沿面放电;小桥;电击穿;热击穿。 判断:空气是绝缘介质;纯净液体的击穿是电击穿,非纯净液体的击穿是热击穿,绝缘油的击穿电压受油品、电压作用时间、电场分布情况及温度的影响较大,电弧会使油分解并产生炭粒;沿面放电是特殊的气体放电,分三个阶段,沿面闪络电压小于气隙击穿电压。 推理:变压器油怕受潮;油断路器有动作次数的限制; 相关知识点:电场、介质极化、偶极子、介电常数、Paschen定律、Townsend理论、流注理论、伏秒特性、大气过电压、内部过电压。 三.实验项目: 1.气体绝缘介质绝缘特性及电击穿实验 ⑴.电极形状对放电的影响 ①.球球间隙 ②.针板间隙 ③.针针间隙 ⑵.电场性质对放电的影响 ①.工频交流电场 ②.直流电场 ⑶.极性效应 ①.正针负板 ②.负针正板 2.液体绝缘介质绝缘特性及电击穿实验 ⑴.导电小桥的观察 ⑵.抗电强度的测试 3.固体绝缘介质绝缘特性及电击穿实验 ⑴.刷状放电的观察 ⑵.滑闪放电的观察 ⑶.沿面闪络的观察 四.实验说明: 1.气体绝缘特性: ⑴.气体在正常情况下绝缘性能良好(带电粒子很少); ⑵.气体质点获得足够的能量(大于其游离能)后,将会产生游离,生成正离子和电子; ⑶.气体质点获得能量的途径有:粒子撞击、光子激励、分子热碰撞; ⑷.气隙中除了有气体质点游离产生的带电粒子外,还存在金属电极表面的逸出电子; ⑸.气隙加上电场,气隙中的带电粒子将顺电场方向加速运动,造成大量的粒子碰撞,但产生气体质点游离的撞源粒子是电子;

数电实验实验报告

[键入文档标题] 实验一组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路74LS20集成电路 四2输入与非门双4输入与非门 二.实验内容 1.实验一 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD是什么? ABCD接逻辑电平开关。 最简表达式为:X1=AB’C’D 密码为:1001

A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 0 1 1 1 1 1 0 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片,和使用仿真软件来设计和构造逻辑电路来求解。 实验二组合逻辑实验(一)半加器和全加器 一.实验目的 1.熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1.复习用门电路设计组合逻辑电路的原理和方法步骤。 2.复习二进制数的运算。 3.用“与非门”设计半加器的逻辑图。 4.完成用“异或门”、“与或非”门、“与非”门设计全加器的逻辑图。 5.完成用“异或”门设计的3变量判奇电路的原理图。 三.元件参考 依次为74LS283、 74LS00、74LS51、 74LS136 其中74LS51:Y= (AB+CD)’, 74LS136: Y=A⊕B(OC门)四.实验内容 1.用与非门组成半加器,用或非门、与或非门、与非门组成全加器(电路自拟) 半加器 被加数A i0 1 0 1 0 1 0 1 加数B i0 0 1 1 0 0 1 1 前级进位C i-10 0 0 0 1 1 1 1 和S i0 1 1 0 1 0 0 1

电力电子技术实验指导书

电力电子技术实验指导书 河南机电职业学院 2010年4月

学生实验守则 一、学生进入实验室必须服从管理,遵守实验室的规章制度。保持实验室的安静和整洁,爱护实验室的一切设施,不做与实验无关的事情。 二、实验课前要按照教师要求认真预习实验指导书,复习教材中于实验有关的内容,熟悉与本次实验相关的在理论知识,同时写出实验预习报告,并经教师批阅后方可进行实验。 三、实验课上要遵守操作规程,线路连接好后,先自行检查,后须经指导教师检查后,才可接通电源进行实验。如果需更改线路,也要经过教师检查后才能接通电源继续实验。 四、学生实验前对实验所用仪器设备要了解其操作规程和使用方法,实验过程中按照要求记录实验数据。实验中有仪器损坏情况,应立即报告指导教师检查处理。凡因不预习或不按照使用方法误操作而造成设备损坏后,除书面检查外,还要按照规定进行赔偿。 五、注意实验安全,不要带电连接、更改或拆除线路。实验中遇到事故应立即关断电源并报告教师处理。 六、实验完成后,实验数据必须经教师签阅后,方可拆除实验线路。并将仪器、设备、凳子等按照规定放好,经教师同意后方可离开实验室。 七、实验室仪器设备不能擅自搬动、调换,更不能擅自带出实验室。 八、因故缺课的同学可以向实验室申请一次补做机会。无故缺课、无故迟到十五分钟以上或者早退的不予补做,该实验无成绩。

第一章电力电子技术实验的基本要求 和安全操作说明 《电子电力技术》是电气工程及其自动化、自动化等专业的三大电子技术基础课程之一,课程涉及面广,内容包括电力、电子、控制、计算机技术等。而实验环节是该课程的重要组成部分,通过实验,可以加深对理论的理解,培养和提高动手能力、分析和解决问题的独立工作能力。 1-1 实验的特点和要求 电力电子技术实验的内容较多、较新,实验系统也比较复杂,系统性较强。理论教学是实验教学的基础,要求学生在实验中应学会运用所学的理论知识去分析和解决实际系统中出现的各种问题,提高动手能力;同时通过实验来验证理论,促进理论和实际相结合,使认识不断提高、深化。通过实验,学生应具备以下能力: (1)掌握电力电子变流装置的主电路、触发和驱动电路的构成及调试方法,能初步设施和应用这些电路; (2)熟悉并掌握基本实验设备、测试仪器的性能和使用方法; (3)能够运用理论知识对实验现象、结果进行分析和处理,解决实验中遇到的问题; (4)能够综合实验数据,解释实验现象,编写实验报告。 1-2 实验前的准备 实验准备即为实验的预习阶段,是保证实验能否顺利进行的必要步骤。每次实验前都应先进行预习,从而提高实验质量和效率,否则就有可能在实验时不知如何下手,浪费时间,完不成实验要求,甚至有可能损坏实验装置。因此,实验前应做到: (1)复习教材中与实验有关的内容,熟悉与本次实验相关的理论知识。 (2)阅读本教材中的实验指导,了解本次实验的目的和内容;掌握本次实验系统的工作原理和方法;明确实验过程中应注意的问题。 (3)写出预习报告,其中应包括实验系统的详细接线图、实验步骤、数据记录表格等。 (4)进行实验分组,一般情况下,电力拖动自动控制系统实验的实验小组为每组2~3人。 1-3 实验实施 在完成理论学习、实验预习等环节后,就可进入实验实施阶段。实验时要做到以下几点: (1)实验开始前,指导教师要对学生的预习报告作检查,要求学生了解本次实验的目的、内容和方法,只有满足此要求后,方能允许实验。 (2)指导教师对实验装置作介绍,要求学生熟悉本次实验使用的实验设备、仪器,明确这些设备的功能与使用方法。 (3)按实验小组进行实验,实验小组成员应进行明确的分工,以保证实验操作协调,记录数据准确可靠,各人的任务应在实验进行中实行轮换,以便实验参加者能全面掌握实验技术,提高动手能力。 (4)按预习报告上的实验系统详细线路图进行接线,一般情况下,接线次序为先主电路,后控制电路;先串联,后并联。在进行调速系统实验时,也可由2人同时进行主电路和控制电路的接线。 (5)完成实验系统接线后,必须进行自查。串联回路从电源的某一端出发,按回路逐项

数电实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在 频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交替” 和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示方式, 当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描速率”(t/div)

数电实验内容

实验一组合逻辑电路的设计 一、实验目的 1、掌握组合逻辑电路的设计和测试方法。 2、掌握半加器、全加器的逻辑功能。 3、通过功能验证锻炼解决实际问题的能力。 二、实验主要仪器设备 1、万用表 2、集成芯片:74LS00、74LS08 三、实验原理 1、设计组合逻辑电路的一般步骤:设计要求→逻辑状态表→逻辑表达式→简化逻辑表 达式→逻辑图。 通常,设计组合逻辑电路按下述步骤进行。其流程图如。 (1)列真值表。设计的要求一般是用文字来描述的。设计者很难由文字描述的逻辑命题直接写出逻辑函数表达式。由于真值表在四种逻辑函数表示方法中,表示逻辑功能最为直观,故设计的第一步为列真值表。首先,对命题的因果关系进行分析,“因”为输入,“果”为输出,即“因”为逻辑变量,“果”为逻辑函数。其次,对逻辑变量赋值,即用逻辑0和逻辑1分别表示两种不同状态。最后,对命题的逻辑关系进行分析,确定有几个输入,几个输出,按逻辑关系列出真值表。 (2)由真值表写出逻辑函数表达式。 (3)对逻辑函数进行化简。若由真值表写出的逻辑函数表达式不最简,应利用公式法或卡诺图法进行逻辑函数化简,得出最简式。如果对所用器件有要求,还需将最简式转换成相应的形式。 (4)按最简式画出逻辑电路图。 图3.4.1 组合逻辑电路设计流程图 2、用74LS00和74LS86组成半加器电路。要求按设计要求步骤进行,直到测试电路逻 辑功能符合设计要求为止。 3、用74LS00和74LS86组成全加器电路。要求按设计要求步骤进行,直到测试电路逻 辑功能符合设计要求为止。 四、预习要求 1、复习组合逻辑电路的设计方法。 2、熟悉本实验所用各种集成电路的型号及引脚号。 3、根据实验内容所给定的设计命题要求,按设计步骤写出真值表、输出函数表达式并

数字电路实验指导书(第一次实验用)

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、前言 二、实验一基本电路逻辑功能实验 三、实验二数字键输入编码功能实现电路设计 四、实验三二进制数字存储功能电路设计 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则 十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加

数电实验1

上海电力学院 数字电路与数字逻辑实验指导书 实验题目:Quartus II软件应用 专业年级:信息安全2011252 学生姓名:李涵茜学号:20113309同组姓名:无 指导教师姓名:刘洪利

一、实验目的 1、了解并掌握QuartusII软件的使用方法。 2、了解并掌握仿真(功能仿真及时序仿真)方法及验证设计正确性。 3、了解并掌握EDA QuartusII中的原理图设计方法。 二、实验内容 本实验通过简单的例子介绍FPGA开发软件QuartusII的使用流程,包括图形输入法的设计步骤和仿真验证的使用以及最后的编程下载。在QuartusII中通过原理图的方法,使用与门和异或门实现半加器。 图形编辑输入法也称为原理图输入设计法。用Quartus II的原理图输入设计法进行数字系统设计时,不需要了解任何硬件描述语言知识,只要掌握数字逻辑电路基本知识,就能使用QuartusII提供的EDA平台设计数字电路或系统。 QuartusII的原理图输入设计法可以与传统的数字电路设计法接轨,即把传统方法得到的设计电路的原理图,用EDA平台完成设计电路的输入、仿真验证和综合,最后编程下载到可编程逻辑器件(FPGA/CPLD)或专用集成电路(ASIC)中。 真值表 逻辑表达式 S=a○+b Cout=a∧b 三、实验步骤 第1步:打开QuartusII软件。 第2步:新建一个空项目。 选择菜单File->New Project Wizard,进入新建项目向导。如下图所示,填入项目的名称“hadder”,默认项目保存路径在Quartus安装下,也可修改为其他地址,视具体情况而定。 第3步:单击Next按钮,进入向导的下一页进行项目内文件的添加操作,如果没有文件需要添加进项目,则直接点击Next按钮既可。 第4步:选择CPLD/FPGA器件,如下图所示,选择芯片系列为“MAX II”,型号为“EPM240T100C5”。 第5步:向导的后面几步不做更改,直接点击Next即可,最后点击Finish结束向导。到此即完成了一个项目的新建工作。 第6步:新建一个图形文件。选择File->New命令,选择“Diagram/Schematic File”,点击OK按钮完成。将该图形文件另存为hadder.bdf。图形编辑窗口如下图所示,窗口左边是图形编辑工具条。 第7步:在图形编辑窗口的空白处双击,打开符号库窗口,如左下图所示。展开符号库“c://.../libraries/”,可以看到有三个类别,分别是“megafunctions”——表示具有宏功能的符号,“others”——主要是一些常用的集成电路符号,“primitives”——主要是一些基本门电路符号、引脚和接地、电源符号等。窗口中的“name”框可快速检索到需要的符号,例如当输入型号“7408”,符号库立刻找到相应集成电路的符号,如右下图所示。 第8步:选择好需要的符号后,单击OK按钮,界面将回到原理图编辑界面,然后单击左键即在窗口内放置该符号。再用同样的方法,在“name”框中输入“xor”即可找到异或门的符号;如下图所示。 第9步:在图形编辑窗口中分别放置与门“7408”和异或门“xor”,如下图所示。 第10步:再次打开符号,在“name”栏中输入“input”,符号库自动在库中找到输入“input”符号(如左下图所示),并选中“Repeat-insert mode”点击OK按钮,可反复在编辑窗口中放入输入符号,直单击右键取消放置为止。由于输

相关主题
文本预览
相关文档 最新文档