当前位置:文档之家› 基于QT设计的串口通信系统实验报告

基于QT设计的串口通信系统实验报告

基于QT设计的串口通信系统实验报告
基于QT设计的串口通信系统实验报告

串口通信系统

姓名:马亮

学院:电气与信息工程学院专业班级:物联网工程2015-01班学号:2015444139

指导老师:易军

成绩:

重庆科技学院

二零一八年六月

大作业规则及要求

规则1:

同学们做一个题目,可以自拟题目,但必须经过任课老师同意,中途不得更改题目。

规则2:

任课老师将列出每个题目的具体功能及分值,完成后获得对应分数,发现代码抄袭或者现场答辩无法解释,记0分。

规则3:

鼓励增加新功能,并给予适当加分。

规则4:

大作业报告除遵守模板格式外,应按照需求分析、系统设计、详细设计、系统测试四个部分组织,其中需求分析应将本项目的功能需求、性能指标、界面、安全等因素考虑在内;系统设计应多画流程图说明设计意图;详细设计应包括关键代码或接口定义,数据表定义等;系统测试应包括功能实现情况(截图)、各性能指标完成情况(表格)。

规则5:

所有题目应以TQ2440为平台进行开发应用,否则答辩成绩扣30分。

摘要

嵌入式linux是将日益流行的Linux操作系统进行裁剪修改,使之能在嵌入式计算机系统上运行的一种操作系统。嵌入式linux既继承了Internet上无限的开放源代码资源,又具有嵌入式操作系统的特性。

随着Internet的发展和后PC时代的到来,嵌入式系统以其可靠性强、体积小、专用性、成本低等特性得到日益广泛的应用。目前嵌入式系统技术已经成了最热门的技术之一。嵌入式Linux是嵌入式操作系统的一个新成员,其最大的特点是源代码公开并且遵循GPL协议,近几年来已成为研究热点。目前正在开发的嵌入式系统中,有近50%的项目选择Linux作为嵌入式操作系统。新一代嵌入式计算系统的功能集成和应用模式使之迅速向网络化嵌入计算的方向发展,标准和统一的TCP/IP通信协议是独立于任何厂家的硬件的,因此嵌入式环境下的适时网络通信成为嵌入计算技术研究的重点和热点。本文通过给予TQ2440的嵌入式串口通信的实现,按照嵌入式系统的软、硬件结构组成,较为详细地介绍了串口通信的硬件电路和软件实现方法。

关键词:嵌入式 Linux操作系统串口通信 TQ2440

前言

串口通信是简单嵌入式系统的一个应用,串口通信是指外设和计算机间,通过数据信号线、地线、控制线等,按位进行传输数据的一种通信方式。这种通信方式使用的数据线少,在远距离通信中可以节约通信成本,但其传输速度比并行传输低。

嵌入式系统是以应用为中心,以计算机技术为基础,软硬件可定制,适用于不同应用场合,对功能、可靠性、成本、体积、功耗有严格要求的专用计算机系统。它一般由嵌入式微处理器,外围硬件设备,嵌入式操作系统,用户应用程序四个部分组成。用于实现对其他设备的控制,监视或管理等功能。嵌入式系统已经广泛应用与科学研究、工业制造、军事技术等领域,人们常用的手机,智能家电,GPS等均是嵌入式系统的典型代表。

目录

摘要................................................................ I 前言............................................................... I I 目录.............................................................. I II 1 嵌入式串口通信概述 (1)

1.1嵌入式串口通信的原理 (1)

1.2嵌入式串口通信的开发工具 (1)

1.2.1 TQ2440硬件平台简介 (2)

1.2.2 ARM简介 (3)

1.2.3 Linux系统简介 (4)

1.3嵌入式串口通信的基本任务 (6)

1.4嵌入式串口通信协议及实现 (7)

2 需求分析 (8)

2.1功能需求 (8)

2.2性能指标 (8)

3 系统设计 (10)

3.1系统功能设计 (10)

3.2通信流程设计 (10)

4 详细设计 (12)

4.1设置串口属性 (12)

4.2客户端Q T设计 (15)

4.2.1 报文格式 (15)

4.2.2部分操作函数 (15)

5 系统调试及测试 (20)

5.1功能实现情况 (20)

5.2各性能指标完成情况 (23)

表5-1串口通信功能完成情况表 (23)

6 总结 (24)

7 致谢 (25)

8 参考文献 (26)

1 嵌入式串口通信概述

所谓串口通信,是指外设和计算机间使用一根数据信号线(另外需要地线),数据在一根数据信号线上一位一位地进行传输,每一位数据都占据一个固定的时间长度。

串口传输是二进制代码序列在一条信道上以位为单位,按时间顺序且按位传输的通信方式。串行传输时,发送端按位发送,接收端按位接受,同时还要对所传输的位加以确认,所以收发双方要采用同步措施,否则接收端将不能正确区分出所传输的数据。

串口通信不但能实现计算机与嵌入式开发板之间的数据传输,而且还能实现计算机对嵌入式开发板的控制。若采用普通单片机,对外部设备的访问就需要利用复杂的汇编语言或者使用C51自己编写设备的初始化以及读写访问程序,这样的过程不仅复杂,而且不太利于大规模的开发与设计。ARM与普通单片机相比,具有开发简单、灵活,而且性能稳定、功能易于扩展等一系列的优势,因而在各个领域的嵌入式系统中得到广泛的应用。

将Linux移植到ARM嵌入式处理器后,可以利用操作系统中提供的系统调用把串口及其他外设当成普通文件进行操作,读写方便,在进行相对应的开发时可以提高系统编程效率,而且还可以简化调试的复杂程度。

1.1嵌入式串口通信的原理

串行端口的本质功能是作为CPU和串行设备间的编码转换器。当数从CPU 经过串行端口发送出去时,字节数据转换为串行的位。在接收数据时,串行的位被转换为字节数据。串口是系统资源的一部分,应用程序要使用串口进行通信,必须在使用之前向操作系统提出申请打开串口,通信完成后必须释放资源,即关闭串口。

1.2嵌入式串口通信的开发工具

此次开发采用的硬件平台是以TQ2440为平台,ARM2410S嵌入式开发板作为被查询服务端,PC机为客户端和C语言来实现本次系统的开发。客户端在Linux

下开发,系统基于客户/服务器结构来实现客户端和服务端之间通过串口通信。

1.2.1 TQ2440硬件平台简介

TQ2440是由广州天嵌计算机科技有限公司打造的一款开发板,如下图1.1所示。其以稳定的性能,过硬的质量,丰富的扩展接口,优质的售后服务和技术支持,赢得众多企业的青睐和支持。开创众多第一,引领嵌入式潮流。

图1.1

移植最新的Linux 2.6.30 系统到TQ2440 开发板,并配有相关移植教程。

将Nandflash 升级为256MB(核心板Nandflash支持升级到1GB,SDRAM 可扩展到128MB)。

推广开源的厂家,除了提供平台性软件,还免费提供厂家移植教程,并可在网上下载,非TQ2440 的用户一样受益。不断更新软件,提供Wince 5.0/6.0原生BSP 包,wince 开发教程,QT 开发教程等厂家一手的移植教程(并在不断更新)。TQ2440硬件特性如下表所示。

1.2.2 ARM简介

ARM(Advanced RISC Machines),既可以认为是一个公司的名字,也可以认为是对一类微处理器的通称,还可以认为是一种技术的名字。

ARM是微处理器行业的一家知名企业,设计了大量高性能、廉价、耗能低的RISC处理器、相关技术及软件。技术具有性能高、成本低和能耗省的特点。适用于多种领域,比如嵌入控制、消费/教育类多媒体、DSP和移动式应用等。

ARM将其技术授权给世界上许多著名的半导体、软件和OEM厂商,每个厂商得到的都是一套独一无二的ARM相关技术及服务。利用这种合伙关系,ARM很快

成为许多全球性RISC标准的缔造者。

1991 年 ARM 公司成立于英国剑桥,主要出售芯片设计技术的授权。采用ARM技术知识产权( IP )核微处理器,即我们通常所说的 ARM 微处理器,已遍及工业控制、消费类电子产品、通信系统、网络系统、无线系统等各类产品市场,基于 ARM 技术的微处理器应用约占据了 32 位 RISC 微处理器 75 %以上的市场份额, ARM 技术正在逐步渗入到我们生活的各个领域。

ARM 公司是专门从事基于 RISC 技术芯片设计开发的公司,作为知识产权供应商,本身不直接从事芯片生产,靠转让设计许可由合作公司生产各具特色的芯片,世界各大半导体生产商从ARM公司购买其设计的 ARM微处理器核,根据各自不同的应用领域,加入适当的外围电路,从而形成自己的 ARM 微处理器芯片。目前,全世界有几十家大的半导体公司都使用 ARM 公司的授权,因此既使得 ARM 技术获得更多的第三方工具、制造、软件的支持,又使整个系统成本降低,使产品更容易进入市场被消费者所接受,更具有竞争力。

ARM 微处理器一般具有如下特点:

(1)体积小、低功耗、低成本、高性能;

(2)支持Thumb(16 位)/ARM(32 位)双指令集,能很好的兼容8/16 位器件;

(3)大量使用寄存器,指令执行速度更快;

(4)大多数数据操作都在寄存器中完成;

(5)寻址方式灵活简单,执行效率高;

(6)指令长度固定。

1.2.3 Linux系统简介

Linux是一套免费使用和自由传播的类Unix操作系统,是一个基于POSIX

和UNIX的多用户、多任务、支持多线程和多CPU的操作系统。它能运行主要的UNIX工具软件、应用程序和网络协议。它支持32位和64位硬件。Linux继承了Unix以网络为核心的设计思想,它的基本思想有两点:第一,一切都是文件;第二,每个软件都有确定的用途。其中第一条详细来讲就是系统中的所有都归结为一个文件,包括命令、硬件和软件设备、操作系统、进程等等对于操作系统内

核而言,都被视为拥有各自特性或类型的文件。至于说Linux是基于Unix的,很大程度上也是因为这两者的基本思想十分相近,所以说它是一个性能稳定的多用户网络操作系统。Linux操作系统诞生于1991 年10 月5 日。Linux存在着许多不同的Linux版本,但它们都使用了Linux内核。Linux可安装在各种计算机硬件设备中,比如手机、平板电脑、路由器、视频游戏控制台、台式计算机、大型机和超级计算机。Linux一般有四个主要部分:内核、Shell、文件结构和实用工具。内核是系统的心脏,是运行程序和管理像磁盘和打印机等硬件设备的核心程序。它从用户那里接受命令并把命令送给内核去执行。

(2)Linux Shell

Shell 是系统的用户界面,提供了用户与内核进行交互操作的一种接口。它接收用户输入的命令并把它送入内核去执行。实际上Shell 是一个命令解释器,它解释由用户输入的命令并且把它们送到内核。不仅如此,Shell 有自己的编程语言用于对命令的编辑,它允许用户编写由shell 命令组成的程序。

(3)Linux文件结构

文件结构是文件存放在磁盘等存储设备上的组织方法。主要体现在对文件和目录的组织上。目录提供了管理文件的一个方便而有效的途径。我们能够从一个目录切换到另一个目录,而且可以设置目录和文件的权限,设置文件的共享程度。使用Linux,用户可以设置目录和文件的权限,以便允许或拒绝其他人对其进行访问。

(4)Linux 实用工具

标准的Linux系统都有一套叫做实用工具的程序,它们是专门的程序,例如编辑器、执行标准的计算操作等。用户也可以产生自己的工具。严格来讲,Linux 这个词本身只表示Linux内核,但实际上人们已经习惯了用Linux来形容整个基于Linux内核,并且使用GNU 工程各种工具和数据库的操作系统。

Linux的主要特性有以下几点:

(1)完全免费

Linux是一款免费的操作系统,用户可以通过网络或其他途径免费获得,并可以任意修改其源代码。这是其他的操作系统所做不到的。正是由于这一点,来

自全世界的无数程序员参与了Linux的修改、编写工作,程序员可以根据自己的兴趣和灵感对其进行改变,这让Linux吸收了无数程序员的精华,不断壮大。

(2)完全兼容POSIX1.0标准

这使得可以在Linux下通过相应的模拟器运行常见的DOS、Windows的程序。这为用户从Windows转到Linux奠定了基础。许多用户在考虑使用Linux时,就想到以前在Windows下常见的程序是否能正常运行,这一点就消除了他们的疑虑。

(3)多用户、多任务

Linux支持多用户,各个用户对于自己的文件设备有自己特殊的权利,保证了各用户之间互不影响。多任务则是现在电脑最主要的一个特点,Linux可以使多个程序同时并独立地运行。

(4)良好的界面

Linux同时具有字符界面和图形界面。在字符界面用户可以通过键盘输入相应的指令来进行操作。它同时也提供了类似Windows图形界面的X-Window系统,用户可以使用鼠标对其进行操作。在X-Window环境中就和在Windows中相似,可以说是一个Linux版的Windows。

(5)支持多种平台

Linux可以运行在多种硬件平台上,如具有x86、680x0、SPARC、Alpha等处理器的平台。此外Linux还是一种嵌入式操作系统,可以运行在掌上电脑、机顶盒或游戏机上。2001年1月份发布的Linux 2.4版内核已经能够完全支持Intel 64位芯片架构。同时Linux也支持多处理器技术。多个处理器同时工作,使系统性能大大提高。

1.3 嵌入式串口通信的基本任务

通信接口的基本任务是:

(1)实现数据格式化:因为来自CPU的是普通的并行数据,所以,接口电路应具有实现不同串行通信方式下的数据格式化的任务。在异步通信方式下,接口自动生成起止式的帧数据格式。在面向字符的同步方式下,接口要在待传送的数据块前加上同步字符。

(2)进行串-并转换:串行传送,数据是一位一位串行传送的,而计算机处理数据是并行数据。所以当数据由计算机送至数据发送器时,首先把串行数据转换为并行数才能送入计算机处理。因此串并转换是串行接口电路的重要任务。

(3)控制数据传输速率:串行通信接口电路应具有对数据传输速率——波特率进行选择和控制的能力。

(4)进行错误检测:在发送时接口电路对传送的字符数据自动生成奇偶校验位或其他校验码。在接收时,接口电路检查字符的奇偶校验或其他校验码,确定是否发生传送错误。

(5)进行TTL与EIA电平转换:CPU和终端均采用TTL电平及正逻辑,它们与EIA采用的电平及负逻辑不兼容,需在接口电路中进行转换。

(6)提供EIA-RS-232C接口标准所要求的信号线:远距离通信采用MODEM 时,需要9根信号线;近距离零MODEM方式,只需要3根信号线。这些信号线由接口电路提供,以便与MODEM或终端进行联络与控制。

1.4 嵌入式串口通信协议及实现

串行端口的本质功能是作为CPU和串行设备间的编码转换器,一般微机内都配有通信适配器,使计算机能够与其他具有RS-232C串口的计算机或设备进行通信。本系统主要目的是实现宿主机与目标机之间的近距离串行通信,采用的宿主机是PC机,而目标机是RAM架构的开发板。

本系统中目标机的开发板是ARM2410S,串口线采用常用的RS-232C型接口模式,能实现计算机与开发板间的数据传输与控制。嵌入式串口通信采用EIA RS-232C标准。

2 需求分析

2.1 功能需求

本系统基于客户/服务器结构,ARM2410S嵌入式开发板作为被查询服务端,PC机为客户端。客户端在Linux下开发,客户端和服务端之间通过串口进行通信,可查询相应的内容。

2.2 性能指标

(1)串口通信协议:PC与开发板通信报文基本格式如下:

信息校验和

信息数据

信息类型

长度校验和

长度

其中:头(header):4个字节的0xff。

长度(len):2个字节的信息长度,从信息类型开始,到信息内容结束,采用网络字节顺序

长度校验和(lcs):1个字节的长度校验和。len0 + len1 + lcs = 0。

信息类型(type):1个字节,0x02。

信息内容(data):n字节的信息内容。

信息校验和(dcs):1个字节的信息内容校验和。type + data0 + data1 + … + data(n-1) + dcs = 0。

信息类型分配如下表所示:

0x00——查询

0x01——诊断(保留)

0x02——下载参数(保留)

PC发送给开发板的基本格式如下:

命令数据

命令字

开发板反馈给PC的基本格式如下:

命令结果

响应字

系统必须支持的查询命令如下表所示:

(2)QT查询界面:界面包括分类显示区域(含保留类型),每种查询表项可选择或者输入,其返回结果能正确显示到文本框。

(3)串口驱动程序实现。

3 系统设计

3.1 系统功能设计

系统功能如下图3.1所示。

图3.1 系统功能图3.2 通信流程设计

利用串口进行通信的流程图如下3.2所示。

图3.2串口通信流程图

4 详细设计

4.1 设置串口属性

1、保持原先串口设置

为了安全起见和以后调试程序方便,可以先保存原先串口的配置,在这里可以使用函数 tcgetattr(fd, &old_cfg)。该函数得到 fd 指向的终端的配置参数,并将它们保存于 termios 结构变量 old_cfg 中。该函数还可以测试配置是否正确、该串口是否可用等。若调用成功,函数返回值为 0,若调用失败,函数返回值为-1,其使用如下所示:

if(tcgetattr(fd, &old_cfg) != 0)

{

perror("tcgetattr"); return -1;

}

2、激活选项

CLOCAL 和 CREAD 分别用于本地连接和接受使能,因此,首先要通过位掩码的方式激活这两个选项。

newtio.c_cflag |= CLOCAL | CREAD;

调用 cfmakeraw()函数可以将终端设置为原始模式,在后面的实例中,采用原始模式进行串口数据通信。

cfmakeraw(&new_cfg);

3.设置波特率

设置波特率有专门的函数,用户不能直接通过位掩码来操作。设置波特率的主要函数有:cfsetispeed()和 cfsetospeed()。这两个函数的使用很简单,如下所示:

cfsetispeed(&new_cfg, B115200);

cfsetospeed(&new_cfg, B115200);

一般地,用户需将终端的输入和输出波特率设置成一样的。这几个函数在成功时

返回 0,失败时返回-1。

4.设置字符大小

特率不同,设置字符大小并没有现成可用的函数,需要用位掩码。一般首先去除数据位中的位掩码,再重新按要求设置。如下所示:

new_cfg.c_cflag &= ~CSIZE; /* 用数据位掩码清空数据位设置 */

new_cfg.c_cflag |= CS8;

5.设置奇偶校验位

校验位需要用到 termios 中的两个成员:c_cflag 和 c_iflag。首先要激活 c_cflag 中的校验位使能标志 PARENB 和是否要进行偶校验,同时还要激活c_iflag 中的对于输入数据的奇偶校验使能(INPCK)。

无校验位,代码如下:

new_cfg.c_cflag &= ~PARENB;

校验时,代码如下所示:

new_cfg.c_cflag |= (PARODD | PARENB);

new_cfg.c_iflag |= INPCK;

而使能偶校验时,代码如下所示:

new_cfg.c_cflag |= PARENB;

new_cfg.c_cflag &= ~PARODD; /* 清除偶校验标志,则配置为奇校验*/ new_cfg.c_iflag |= INPCK;

6.设置停止位

设置停止位是通过激活 c_cflag 中的 CSTOPB 而实现的。若停止位为一个,则清除CSTOPB,若停止位为两个,则激活 CSTOPB。以下分别是停止位为一个和两个比特时的代码:

new_cfg.c_cflag &= ~CSTOPB; /* 将停止位设置为一个比特 */

new_cfg.c_cflag |= CSTOPB; /* 将停止位设置为两个比特 */

7.设置最少字符和等待时间

在一般的情况下,可以设置设置为阻塞等待,直read到数据才返回

termios_new.c_cc[VTIME] = 0;

termios_new.c_cc[VMIN] = 4;

8.清除串口缓冲

由于串口在重新设置之后,需要对当前的串口设备进行适当的处理,这时就可调用在中声明的 tcdrain()、tcflow()、tcflush()等函数来处理目前串口缓冲中的数据,它们的格式如下所示。

int tcdrain(int fd); /* 使程序阻塞,直到输出缓冲区的数据全部发送完毕*/

int tcflow(int fd, int action) ; /* 用于暂停或重新开始输出 */

int tcflush(int fd, int queue_selector); /* 用于清空输入/输出缓冲区*/

本实例中使用 tcflush()函数,对于在缓冲区中的尚未传输的数据,或者收到的,但是尚未读取的数据,其处理方法取决于 queue_selector 的值,它可能的取值有以下几种。

TCIFLUSH:对接收到而未被读取的数据进行清空处理。

TCOFLUSH:对尚未传送成功的输出数据进行清空处理。

TCIOFLUSH:包括前两种功能,即对尚未处理的输入输出数据进行清空处理。

如在本例中所采用的是第一种方法:

tcflush(fd, TCIFLUSH);

9、激活配置

在完成全部串口配置之后,要激活刚才的配置并使配置生效。这里用到的函数是tcsetattr(),

它的函数原型是:

tcsetattr(int fd, int optional_actions, const struct termios*termios_p);

其中参数 termios_p 是 termios 类型的新配置变量。

参数 optional_actions 可能的取值有以下 3 种:

TCSANOW:配置的修改立即生效。

TCSADRAIN:配置的修改在所有写入 fd 的输出都传输完毕之后生效。TCSAFLUSH:所有已接受但未读入的输入都将在修改生效之前被丢弃。

该函数若调用成功则返回 0,若失败则返回-1,代码如下所示:

if ((tcsetattr(fd, TCSANOW, &new_cfg)) != 0)

{

perror("tcsetattr");

return -1;

}

4.2 客户端Qt设计

4.2.1 报文格式

PC与开发板通信报文基本格式:

struct Message{

QByteArray Header;

QByteArray Len;

QByteArray Lcs;

QByteArray Infotype;

Info Infodata;

QByteArray Dcs;

};

PC发送给开发板的基本格式:

struct Info{

QByteArray Cmmd;

QByteArray Data;

};

4.2.2 部分操作函数

(1)绑定发送选项的radiobutton 的click信号到 Check槽函数connect(ui->Id_check,SIGNAL(clicked()),this,SLOT(Check()));

connect(ui->Ip_check,SIGNAL(clicked()),this,SLOT(Check()));

connect(ui->Mac_check,SIGNAL(clicked()),this,SLOT(Check()));

connect(ui->Passwd_check,SIGNAL(clicked()),this,SLOT(Check()));

connect(ui->Net_check,SIGNAL(clicked()),this,SLOT(Check()));

connect(ui->Buzzer_check,SIGNAL(clicked()),this,SLOT(Check()));

connect(ui->Cpu_check,SIGNAL(clicked()),this,SLOT(Check()));

connect(ui->Lcd_check,SIGNAL(clicked()),this,SLOT(Check()));

(2)将发送选项加入到buttonGroup中并绑定。

机械设计上机设计实验报告

机械设计上机设计 班级: 姓名: 学号:

目录 1.数表和线图的程序化处理 (1) 1.1数表的程序化 (1) 1.1.1查表检索法 (1) 1.1.2数表解析法 (12) 1.2线图的程序化 (15) 1.3有关数据处理 (16) 2.典型零部件的程序设计 (18) 2.1 V带传动的程序设计 (18) 2.2 齿轮传动的程序设计 (19) 2.3 滚动轴承的程序设计 (21) 3.课后习题计算 (22)

一、表和线图的程序化处理 1.1数表程序化 数表程序化有两种方法:一是查表检索法;二是数表解析法1.1.1 查表检索法 1)一元数表的存取 表1-1 普通V带型号及有关参数 运行界面:

程序代码: Private Sub Command1_Click() Dim s As Integer Dim q1 As Single, dm As Single, kb As Single s = Val(Txt_s.Text) Select Case s Case 0 q1 = 0.02: dm = 20: kb = 0.00006 Case 1 q1 = 0.06: dm = 50: kb = 0.00039 Case 2 q1 = 0.1: dm = 75: kb = 0.00103 Case 4 q1 = 0.17: dm = 125: kb = 0.00265 Case 5 q1 = 0.3: dm = 200: kb = 0.0075 Case 6 q1 = 0.62: dm = 355: kb = 0.0266 Case 7 q1 = 0.9: dm = 500: kb = 0.0498 End Select Txt_q1.Text = Str(q1) Txt_dmin.Text = Str(dm) Txt_kb.Text = Str(kb) End Sub Private Sub Command2_Click() End End Sub 2)二元数表的存取 表1-2齿轮传动工作状况系数K

通信综合实训系统实验报告

通信综合实训系统实验 (程控交换系统实验) 学生姓名 学号 专业班级通信工程班 指导老师 年月日

实验1 局内呼叫处理实验 一、实验目的 1. 通过对模拟用户的呼叫追踪,加深对程控交换机呼叫处理过程的理解; 2. 掌握程控交换机配置数据的意义及原理; 3. 根据设计要求,完成对程控交换机本局数据的配置。 二、实验内容 1.学习ZXJ10 程控交换机本局数据配置方法; 2.模拟用户动态跟踪,深入分析交换机呼叫流程; 3.按照实验指导书的步骤配置本局数据,电话号码7000000~7000023 分配到ASLC 板 卡的0~23 端口,并用7000000 拨打7000001 电话,按照实验指导书方法创建模拟用 户呼叫跟踪,观察呼叫动态迁移,理解单模块呼叫流程。 4.本局数据配置需要配置如下: 局信息配置 局容量数据配置 交换局配置 物理配置 号码管理、号码分析 三、实验仪器 程控交换机 1 套 维护终端若干 电话机若干四、实验步骤 (一)、启动后台维护控制中心 启动程控交换机网管终端计算机,点击桌面快捷方式的,启动后的维护控制中心如下图2-1(利用众友开发软件CCTS可省略该步骤): (二)、启动操作维护台 选中后台维护系统控制中心,单击右键,选中【启动操作维护平台】, 出现如下的对话框,输入操作员名【SYSTEM】, 口令为空,单击【确定】后,将会登陆操作维护系统。

(三)、告警局配置 打开“系统维护(C)”---- “告警局配置(B)”,点击“局信息配置(B)”后,弹出如下界面。 输入该局的区号532,局号 1 ,然后点击【写库】。 (四)、局容量数据配置 打开【基本数据管理】-【局容量数据配置】, 点击后弹出如下操作界面(分别进行全局容量、各模块容量进行规划设置),点击【全局规划】,出现如下的对话框. 点击【全部使用建议值】, 当前值自动填上系统默认的数值,点击【确定】后返回容量规划界面,点击【增加】, 模块号 2 ,MP内存128 ,普通外围、远端交换模块,填写完,点击【全部使用建议值】。 (五)、交换局配置 在后台维护系统打开[数据管理→基本数据管理→交换局配置]弹出如下的对话框,按照 图示,只填写【本交换局】-【交换局配置数据】,点击设置。 (六)、物理配置 在后台维护系统打开[数据管理→基本数据管理→物理配置]: 1. 新增模块 点击【新增模块】,填完模块号,选中紧凑型外围交换模块,点击确定,返回开始的对话 框。

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

机械设计实验报告带传动

实验一 带传动性能分析实验 一、实验目的 1、了解带传动试验台的结构和工作原理。 2、掌握转矩、转速、转速差的测量方法,熟悉其操作步骤。 3、观察带传动的弹性滑动及打滑现象。 4、了解改变预紧力对带传动能力的影响。 二、实验内容与要求 1、测试带传动转速n 1、n 2和扭矩T 1、T 2。 2、计算输入功率P 1、输出功率P 2、滑动率ε、效率η。 3、绘制滑动率曲线ε—P 2和效率曲线η—P 2。 三、带传动实验台的结构及工作原理 传动实验台是由机械部分、负载和测量系统三部分组成。如图1-1所示。 1直流电机 2主动带轮 3、7力传感器 4轨道 5砝码 6灯泡 8从动轮 9 直流发电机 10皮带 图1-1 带传动实验台结构图 1、机械部分 带传动实验台是一个装有平带的传动装置。主电机1是直流电动机,装在滑座上,可沿滑座滑动,电机轴上装有主动轮2,通过平带10带动从动轮8,从动轮装在直流发电机9的轴上,在直流发电机的输出电路上,并接了八个灯泡,每个40瓦,作为发电机的负载。砝码通过尼龙绳、定滑轮拉紧滑座,从而使带张紧,并保证一定的预拉力。随着负载增大,带的受力增大,两边拉力差也增大,带的弹性滑动逐步增加。当带的有效拉力达到最大有效圆周力时,带开始打滑,当负载继续增加时则完全打滑。 2、测量系统 测量系统由转速测定装置和扭矩测量装置两部分组成。 (1)转速测定装置 用硅整流装置供给电动机电枢以不同的端电压实现无级调速,转动操纵面板上“调速”旋钮,即可实现无级调速,电动机无级调速范围为0~1500r/min ;两电机转速由光电测速装置测出,将转速传感器(红外光电传感器)分别安装在带轮背后的“U ”形糟中,由此可获得转速信号,经电路处理即可得到主、从动轮上的转速n 1、n 2。 (2)扭矩测量装置 电动机输出转矩1T (主动轮转矩)、和发电机输入转矩2T (从动轮转矩)采用平衡电机外壳(定子)的方法来测定。电动机和发电机的外壳支承在支座的滚动轴承中,并可绕转子的轴线摆动。当电动机通过带传动带动发电机转动后,由于受转子转矩的反作用,电动机定子将向转子旋转的相反方向倾倒,发电机的定子将向转子旋转的相同方向倾倒,翻转力的大小可通过力传感器测得,经过计算电路计算可得到作用于电机和发电机定子的转矩,其大小与主、从动轮上的转矩1T 、2T 相等。

网络程序设计实验报告-Winsock编程接口实验

网络程序设计 实验报告 实验名称: Winsock编程接口实验 实验类型:____验证型实验_____ __ 指导教师:______________________ 专业班级:_____________________ 姓名:_______________________ 学号:_____________________ 电子邮件:____________ 实验地点:______ _______ 实验日期2013 年 3 月29 日 实验成绩:__________________________

一、实验目的 ●掌握Winsock的启动和初始化; ●掌握gethostname(),gethostbyname(),GetAdaptersInfo()等信息查询函数的使用。 二、实验设计 由实验内容可以知道: 1、编写程序能同时实现对多个域名的解析。比如在控制台输入:getip https://www.doczj.com/doc/947819579.html, https://www.doczj.com/doc/947819579.html,,能输出https://www.doczj.com/doc/947819579.html,和https://www.doczj.com/doc/947819579.html,对应的IP地址列表。 2、编写程序获取并输出本地主机的所有适配器的IP地址,子网掩码,默认网关,MAC 地址。 首先要了解一些基本的知识gethostname(),gethostbyname(),GetAdaptersInfo()等信息查询函数的基本知识gethostbyname()返回对应于给定主机名的包含主机名字和地址信息的hostent结构指针。结构的声明与gethostaddr()中一致。 之后要根据内容画出函数流程图

三、实验过程(包含实验结果) 1.在实验过程中调用GetAdaptersInfo()时,出现了undeclared identifier的报错,原因是没有包含其头文件,之后进行一些修改解决了问题. 2.实验结果 3.选择查看本机信息 四、讨论与分析 1.Winsock初始化的作用是什么? 答:使用winsock初始化可加载winsock编程的动态链接库。

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

网络编程实验报告

实验一TCP Socket API程序设计 一、预备知识 1.网络编程基本概念 网络上的计算机间的通讯,实质上是网络中不同主机上的程序之间的通讯。在互联网中使用IP地址来标识不同的主机,在网络协议中使用端口号来标识主机上不同进程,即使用(IP地址,端口号)二元组。 套接字(Socket)用于描述IP地址和端口,是一个通信链的句柄,通信时一个网络程序将要传输的一段信息写入它所在主机的Socket中,该Socket通过与网络接口卡相连的传输介质将这段信息发送到另一台主机的Socket中,以供其他程序使用。 图1-1 TCP通信流程 2.TCP通信流程 TCP程序是面向连接的,程序运行后,服务器一直处于监听状态,客户端与

服务器通信之前必须首先发起连接请求,由服务器接收请求并在双方之间建立连接后才可以互相通信。 二、实验目的 1.了解Winsock API编程原理; 2.掌握TCP Socket程序的编写; 3.了解C/S模式的特点; 4.学会解决实验中遇到的问题。 三、实验任务 使用Winsock API相关类实现TCP Socket通信程序,并能成功运行。 四、实验环境及工具 1. Windows2000/XP/7 2. Visual C++开发平台 3. Visual Studio2010 五、实验内容和步骤 参照《Visual C++网络编程教程》书中81页,TCP Socket API程序设计。 连接: void CChatClientDlg::OnConnect() { WSADATA wsd; //WSADATA结构 WSAStartup(MAKEWORD(2,2),&wsd); //加载协议,使用Winsock 2.2版 m_client = socket(AF_INET,SOCK_STREAM,0); //创建流式套接字 //服务器地址 sockaddr_in serveraddr; UpdateData(); if(ServerIP.IsBlank()) { AfxMessageBox("请指定服务器IP!"); return; } if(sPort.IsEmpty()) { AfxMessageBox("请指定端口!"); return; }

数字通信系统设计实验报告

实验1:用 Verilog HDL 程序实现乘法器 1实验要求: (1) 编写乘法器的 Veirlog HDL 程序. (2) 编写配套的测试基准. (3) 通过 QuartusII 编译下载到目标 FPGA器件中进行验证 (4) 注意乘法逻辑电路的设计. 2 试验程序: Module multiplier(input rst,input clk,input [3:0]multiplicand, input [3:0]multiplier,input start_sig,output done_sig,output [7:0]result); reg [3:0]i; reg [7:0]r_result; reg r_done_sig; reg [7:0]intermediate; always @ ( posedge clk or negedge rst ) if( !rst ) begin i<=4'b0; r_result<=8'b0; end else if(start_sig) begin case(i) 0: begin intermediate<={4'b0,multiplicand}; r_result<=8'b0; i<=i+1; end 1,2,3,4: begin if(multiplier[i-1]) begin r_result<=r_result+intermediate; end intermediate<={intermediate[6:0],1'b0}; i<=i+1; end 5: begin r_done_sig<=1'b1;

i<=i+1; end 6: begin r_done_sig<=1'b0; i<=1'b0; end endcase end assign result=r_done_sig?r_result:8'bz; assign done_sig=r_done_sig; endmodule3 测试基准: `timescale 1 ps/ 1 ps module multiplier_simulation(); reg clk; reg rst; reg [3:0]multiplicand; reg [3:0]multiplier; reg start_sig; wire done_sig; wire [7:0]result; /***********************************/ initial begin rst = 0; #10; rst = 1; clk = 1; forever #10 clk = ~clk; end /***********************************/ multiplier U1 ( .clk(clk), .rst(rst), .multiplicand(multiplicand), .multiplier(multiplier), .result(result), .done_sig(done_sig), .start_sig(start_sig) ); reg [3:0]i; always @ ( posedge clk or negedge rst ) if( !rst )

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

杭电通信系统课程设计报告实验报告

通信系统课程设计实验报告 XX:田昕煜 学号:13081405 班级:通信四班 班级号:13083414 基于FSK调制的PC机通信电路设计

一、目的、容与要求 目的: 掌握用FSK调制和解调实现数据通信的方法,掌握FSK调制和解调电路中相关模块的设计方法。初步体验从事通信产品研发的过程. 课程设计任务:设计并制作能实现全双工FSK调制解调器电路,掌握用Orcad Pspice、Protel99se进行系统设计及电路仿真。 要求:合理设计各个电路,尽量使仿真时的频率响应和其他参数达到设计要求。尽量选择符合标称值的元器件构成电路,正确完成电路调试。 二、总体方案设计 信号调制过程如下: 调制数据由信号发生器产生(电平为TTL,波特率不超过9600Baud),送入电平/幅度调整电路完成电平的变换,再经过锁相环(CD4046),产生两个频率信号分别为30kHz和40kHz(发“1”时产生30kHz方波,发“0”时产生40kHz方波),再经过低通滤波器2,变成平滑的正弦波,最后通过线圈实现单端到差分信号的转换。

信号的解调过程如下: 首先经过带通滤波器1,滤除带外噪声,实现信号的提取。在本设计中FSK 信号的解调方式是过零检测法。所以还要经过比较器使正弦信号变成方波,再经过微分、整流电路和低通滤波器1实现信号的解调,最后经过比较器使解调信号成为TTL电平。在示波器上会看到接收数据和发送数据是一致的。 各主要电路模块作用: 电平/幅度调整电路:完成TTL电平到VCO控制电压的调整; VCO电路:在控制电压作用下,产生30KHz和40KHz方波; 低通2:把30KHz、40KHz方波滤成正弦波; 线圈:完成单端信号和差分信号的相互转换; 带通1:对带外信号抑制,完成带信号的提取; 限放电路:正弦波整形成方波,同时保留了过零点的信息; 微分、整流、脉冲形成电路:完成信号过零点的提取; 低通1:提取基带信号,实现初步解调; 比较器:把初步解调后的信号转换成TTL电平 三、单元电路设计原理与仿真分析 (1)带通1(4阶带通)-- 接收滤波器(对带外信号抑制,完成带信号的提取) 要求通带:26KHz—46KHz,通带波动3dB; 阻带截止频率:fc=75KHz时,要求衰减大于10dB。经分析,二级四阶巴特沃斯带通滤波器来提取信号。 具体数值和电路见图1仿真结果见图2。

《机械设计》实验报告

一、目的与要求 1、根据给定的实验内容、设备及条件,通过实验,达到开发、培养、提高学生的动手能力,了解、掌握机械运动的一般规律以及现代测试原理和方法,增强创新意识与工程实践能力。实现预期实验目的。 2、根据实验项目要求,进行有关“带传动”、“链传动”、“齿轮传动”、“蜗杆传动”及“综合机械传动”等实验方案的创意设计、实验装置的设计、搭接、组装及调试、实验测试方法的选择、实际操作规程的制订、实验数据测试、实验结果分析及绘制实验装置的结构简图。 二、提供设备如下 1、动力装置 ⑴普通电机Y90L—4—1.5 额定功率 1.5Kw 满载转速1420r/min ⑵变频交流电机 额定功率 1.5kW 转速1~6000r/min 2、测试装置 ⑴转速转矩测量仪额定转矩50N·m 转速范围0~6000r/min ⑵机械效率仪 3、传动装置 ⑴圆柱齿轮减速噐 减速比1:1.5,齿数Z1 =32,Z2 = 48 , 螺旋角β=8。4‘9‘‘,中心距a=100mm 法面模数m n =2.5; ⑵蜗杆减速器 蜗杆类型ZA,轴向模数m=3.250,,蜗杆头数Z1 =4, 蜗杆齿数Z2 =30,减速比1:1.7,中心距a=63mm; ⑶V型带传动件 型号Z-1041,带轮基准直径d=106mm; ⑷链传动件

链号:08A,链节距p=12.70mm,链轮齿数Z=21 。 4、加载装置 C Z5磁粉制动器额定转矩50N·m 滑差功率4kw 三、实验内容 1、实验方案设计; 2、传动方案选择设计; 3、实验装置搭建及调试; 4、实验结果测试; 5、绘制实验装置的结构简图; 6、实验结果分析报告。 四、注意事项 1、增强创新意识与工程实践能力,树立严肃认真、一丝不苟的工作精神,养成实验时的正确方法和良好习惯,维护国家财产不受损失; 2、注意保持实验室内整洁,严格遵守实验室的规章制度; 3、实验装置搭建完成后,须经指导老师检查、审定后方可开机操作; 4、实验室严格遵守设备及仪器操作规程,注意人生安全; 5、实验结束后应整理全部仪器、装置及附件,并恢复原位; 6、认真完成实验报告 五、操作步骤 1、接通电源,打开水源、效率仪、稳流电源,启动电机,打开计算机,点击“机械设计多功能实验台”,进入主界面。 2、关闭电机,断开动力装置与被测装置之间的联轴器,将效率仪输入调零。 3、还原动力装置与被测装置之间的联轴器,启动电机,将效率仪的输出调零。 4、点击“新建”进入测试菜单,点击“连续采集”正式进入实验。 5、顺时针转动稳流电源激磁电钮,缓慢均匀加载,加载完毕,点击“结束采集”,同时将激磁电钮回零。 6、储存数据、数据处理、打印结果 注意:输入功率<2kw、激磁电流<0.3A

通信系统仿真实验报告(DOC)

通信系统实验报告——基于SystemView的仿真实验 班级: 学号: 姓名: 时间:

目录 实验一、模拟调制系统设计分析 -------------------------3 一、实验内容-------------------------------------------3 二、实验要求-------------------------------------------3 三、实验原理-------------------------------------------3 四、实验步骤与结果-------------------------------------4 五、实验心得------------------------------------------10 实验二、模拟信号的数字传输系统设计分析------------11 一、实验内容------------------------------------------11 二、实验要求------------------------------------------11 三、实验原理------------------------------------------11 四、实验步骤与结果------------------------------------12 五、实验心得------------------------------------------16 实验三、数字载波通信系统设计分析------------------17 一、实验内容------------------------------------------17 二、实验要求------------------------------------------17 三、实验原理------------------------------------------17 四、实验步骤与结果------------------------------------18 五、实验心得------------------------------------------27

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

机械振动实验报告

《机械振动基础》实验报告 (2015年春季学期) 姓名 学号 班级 专业机械设计制造及其自动化报告提交日期2015.05.07 哈尔滨工业大学

报告要求 1.实验报告统一用该模板撰写,必须包含以下内容: (1)实验名称 (2)实验器材 (3)实验原理 (4)实验过程 (5)实验结果及分析 (6)认识体会、意见与建议等 2.正文格式:四号字体,行距为1.25倍行距; 3.用A4纸单面打印;左侧装订; 4.报告需同时提交打印稿和电子文档进行存档,电子文档由班长收 齐,统一发送至:liuyingxiang868@https://www.doczj.com/doc/947819579.html,。 5.此页不得删除。 评语: 教师签名: 年月日

实验一报告正文 一、实验名称:机械振动的压电传感器测量及分析 二、实验器材 1、机械振动综台实验装置(压电悬臂梁) 一套 2、激振器一套 3、加速度传感器一只 4、电荷放大器一台 5、信号发生器一台 6、示波器一台 7、电脑一台 8、NI9215数据采集测试软件一套 9、NI9215数据采集卡一套 三、实验原理 信号发生器发出简谐振动信号,经过功率放大器放大,将简谐激励信号施加到电磁激振器上,电磁激振器振动杆以简谐振动激励安装在激振器上的压电悬臂梁。压电悬臂梁弯曲产生电流显示在示波器上,可以观测悬臂梁的振动情况;另一方面,加速度传感器安装在电磁激振器振动杆上,将加速度传感器与电荷放大器连接,将电荷放大器与数据采集系统连接,并将数据采集系统连接到计算机(PC机)上,操作NI9215数据采集测试软件,得到机械系统的振动响应变化曲线,可以观测电磁激振器的振动信号,并与信号发生器的激励信号作对比。实验中的YD64-310型压电式加速度计测得的加速度信号由DHF-2型电荷放大器后转变为一个电压信号。电荷放大器的内部等效电路如图1所示。 q

算法程序设计实验报告

程序设计》课程设计 姓名:王 学号:20100034 班级:软件工程00 班 指导教师:王会青 成绩: 2010年 6 月 实验一.构造可以使n 个城市连接的最小生成树 专业:__软件工程___ 班级:__软件姓名:_王___ 学号:_20100034 完成日期:_2010/6/26 ________ 一、【问题描述】给定一个地区的n 个城市间的距离网,用Prim 算法或Kruskal 算法建立最小生成树,并计算得到的最小生成树的代价。 1 城市间的道路网采用邻接矩阵表示,邻接矩阵的存储结构定义采用课本中给出的定义,若两个城市之间不存在道

路,则将相应边的权值设为自己定义的无穷大值。 2 显示出城市间道路网的邻接矩阵。 3 最小生成树中包括的边及其权值,并显示得到的最小生成树的总代价。 4 输入城市数、道路数→输入城市名→输入道路信息→执行Kruskal 算法→执行Prim 算法→输出最小生成树 二、【问题分析】 1. 抽象数据类型结构体数组的定义: #ifnd ef ADJACENCYMATRIXED// 防止该头文件被重复引用 #define ADJACENCYMATRIXED // 而引起的数据重复定义 #define INFINITY 32767 // 最大值∞ #define MAX_VERTEX_NUM 20 // 最大顶点个数 typedef int VRType; // 权值,即边的值 typedef char InfoType; // 附加信息的类型,后面使用时会定义成一个指针 typedef char VertexType[MAX_VERTEX_NUM]; // 顶点类型 typedef enum {DG=1, DN, UDG, UDN} GraphKind; //{ 有向图,有向网,无向图,无向网} typedef struct ArcCell { VRType adj; //VRType 是顶点关系类型。对无权图,用1 或0 表示相邻否;对带权图,则为权值类型。 InfoType*info; // 该弧关系信息的指针

通信综合实训系统实验报告

. 通信综合实训系统实验 (程控交换系统实验) 学生姓名 学号 专业班级通信工程班 指导老师 年月日

实验1 局内呼叫处理实验 一、实验目的 1.通过对模拟用户的呼叫追踪,加深对程控交换机呼叫处理过程的理解; 2.掌握程控交换机配置数据的意义及原理; 3.根据设计要求,完成对程控交换机本局数据的配置。 二、实验内容 1.学习ZXJ10程控交换机本局数据配置方法; 2.模拟用户动态跟踪,深入分析交换机呼叫流程; 3.按照实验指导书的步骤配置本局数据,电话号码7000000~7000023分配到ASLC板 卡的0~23端口,并用7000000拨打7000001电话,按照实验指导书方法创建模拟用户呼叫跟踪,观察呼叫动态迁移,理解单模块呼叫流程。 4.本局数据配置需要配置如下: 局信息配置 局容量数据配置 交换局配置 物理配置 号码管理、号码分析 三、实验仪器 程控交换机1套 维护终端若干 电话机若干 四、实验步骤 (一)、启动后台维护控制中心 启动程控交换机网管终端计算机,点击桌面快捷方式的,启动后的维护控制中心如下图2-1(利用众友开发软件CCTS可省略该步骤): (二)、启动操作维护台 选中后台维护系统控制中心,单击右键,选中【启动操作维护平台】,出现如下的对话框,输入操作员名【SYSTEM】,口令为空,单击【确定】后,将会登陆操作维护系统。

(三)、告警局配置 打开“系统维护(C)”----“告警局配置(B)”,点击“局信息配置(B)”后,弹出如下界面。 输入该局的区号532,局号1,然后点击【写库】。 (四)、局容量数据配置 打开【基本数据管理】-【局容量数据配置】,点击后弹出如下操作界面(分别进行全局容量、各模块容量进行规划设置),点击【全局规划】,出现如下的对话框. 点击【全部使用建议值】,当前值自动填上系统默认的数值,点击【确定】后返回容量规划界面,点击【增加】, 模块号2,MP内存128,普通外围、远端交换模块,填写完,点击【全部使用建议值】。 (五)、交换局配置 在后台维护系统打开[数据管理→基本数据管理→交换局配置]弹出如下的对话框,按照图示,只填写【本交换局】-【交换局配置数据】,点击设置。 (六)、物理配置 在后台维护系统打开[数据管理→基本数据管理→物理配置]:

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

机械设计实验报告 修改版(赵扬)

机械设计基础(A2)实验报告 班级: 学号: 姓名: 沈阳理工大学

一.皮带传动实验报告 ----------------------------------实验指导教师------------------ 日期:----------------- 专业班级:----------------- 成绩:------------ 学号:------------ 姓名:------------ 1.1.实验目的 1.2.实验机构造及测试原理 1.3.实验步骤 1.4.数据和曲线

二.齿轮传动效率实验报告 ----------------------------------实验指导教师------------------ 日期:----------------- 专业班级:----------------- 成绩:------------ 学号:------------ 姓名:------------ 2.1.实验目的 2.2.实验机构及测试原理 2.3.实验步骤 2.4.数据和曲线

2.5.思考题 (1)T9-T1基本上为直线关系,为什么T9-η为曲线关系? (2)哪些因素影响齿轮传动的效率?加载力矩的测量中存在哪些误差? (3)提高齿轮传动效率的措施有哪些?

三.HS-A型液体动压轴承实验报告 ----------------------------------实验指导教师------------------ 日期:----------------- 专业班级:----------------- 成绩:------------ 学号:------------ 姓名:------------ 3.1.实验目的 3.2.实验机构及测试原理 3.3.实验步骤 3.4.数据和曲线

网络编程实验报告

网络编程技术实验报告 一实验目的: 网络编程技术是计算机科学与技术专业、网络工程专业、软件工程专业的一门专业基础课程。本课程以Java技术为主讲授,Java语言是当前最流行的网络编程语言。本课程是一门实用性和综合运用性都很强的课程,实践教学环节是教学过程中必不可少的重要内容。通过实验,让学生熟悉JDK中的主要内容,掌握用JDK调试和运行程序的方法,掌握网络编程的基本思想和开发方法、面向对象编程的思想,JA V A中的基本方法和技术,能够熟练使用JA V A设计、编写程序,特别是基于TCP/IP的Socket 编程,并能运用这些知识方法完成C/S和B/S结构程序的设计工作。通过实验,提高学生使用Java语言程序设计开发的能力,提高应用面向对象技术分析和解决实际问题的能力,并在此基础上强化学生的实践意识、提高其分析问题、解决问题的能力以及动手能力和创新能力。 二实验要求 要求学生熟悉JDK中的主要内容,掌握用JDK调试和运行程序的方法,掌握网络编程的基本思想和开发方法、面向对象编程的思想,JAVA中的基本方法和技术,能够熟练使用JAVA设计、编写程序,特别是基于TCP/IP的Socket编程,并能运用这些知识方法完成C/S和B/S结构程序的设计工作。要注意培养学生良好的编程习惯,自始至终贯彻课程中所介绍的程序设计风格。为保证尽量在统一安排的上机时间内完成程序设计任务,学生应事先做问题分析,并做静态检查。学生应记录实验中所遇到的问题,并写出详细的实验报告。课前准备上机程序,上机认真调试,课后撰写实验报告,实验报告包括实验目的、实验内容、源程序、实验结果及分析。

. 实验一java基本语法 实验目的: 了解Java的数据类型,掌握各种变量的声明方式,理解运算符的优先级,掌握Java基本数据类型、运算符与表达式,掌握顺序结构、选择结构和循环结构语法的程序设计方法。 实验要求: 1、编写一个声明Java不同数据类型变量的程序。 2、编写使用不同选择结构的程序。 3、编写使用不同循环结构结构的程序。 实验内容: 1、编写一个声明Java不同数据类型变量的程序。 public class DataTypes { public static void main(String args[]) { byte b=127; short s=32767; int i=2147483647; long l=9223372036l;//为什么long表示的数比Int还小? char c='c'; float f=1.23F; double d=0.9E-3; boolean bool=true; System.out.println(" b="+b); System.out.println(" s="+s); System.out.println(" i="+i); System.out.println(" l="+l); System.out.println(" c="+c); System.out.println(" f="+f); System.out.println(" d="+d); System.out.println(" bool="+bool); }

相关主题
文本预览
相关文档 最新文档