当前位置:文档之家› 通信系统综合实验报告

通信系统综合实验报告

通信系统综合实验报告
通信系统综合实验报告

实验一 SDH点对点组网配置实验

一、实验目的

通过本实验了解2M 业务在点对点组网方式时候的配置。

二、实验内容

采用点对点组网方式时,需要两套SDH 设备。

以OPTIX 155M/622 组网时候为例实际连接图如下:

实验步骤(通过EB 平台对SDH 进行配置):

1、在Windows2000 的桌面上双击快捷图标,成功启动Ebridge 软件

2.单击“确认”。

3. 选择你所需要登陆的SDH 网元站点:输入用户名和密码(用户名、密码都为学生终端编号加10),按“OK”键。

4、点击“申请席位”,自软件SERVER 服务器端会对登陆操作请求自动进行排队,分配上机时间。

5、当学生终端占用操作席位后,即可输入命令行。可以单条执行,也可以执行批处理,单条执

行时候,在“命令输入行”输入命令。

6、采用批处理命令执行时候,点击右下角“导入文本文件”,选择需要执行的文件,然后点击

“打开”窗口。

7、选择好文件之后,用鼠标点击“点击批处理”,软件就自动执行命令。也可以用鼠标双击所

要选中的指令,这样指令就会进入输入窗口,按回车逐条执行。

以以上配置完成后,根据组网图连接好物理链路就可以对数据进行验证了。

实验验证:

1、业务上载到网管软件进行观察:

按照T2000 网管软件使用方法新建网元并初始化,但网元初始化方式选择“上载”如下图:

- 23 -

然后点击“下一步”

等待数据加载完

- 24 -

按照相同方法把其余网元也建立起来。

建立好网元后进入时钟视图,右键单击空白处选择“时钟跟踪搜索”搜索出时钟数据。

配置好时钟数据后进入保护视图,选择保护子网—保护子网搜索

进入子网搜索界面后,单击“下一步”

- 25 -

选择“搜索扩展保护子网”,然后单击“搜索”

搜索到保护子网后,单击“完成”

完成保护子网数据上载之后,再到相应的业务数据配置项查看到通过命令行配置的数据信息,核实自己做的数据是否有误。

三、实验总结

1、打公务电话验证

电话a号码:123,电话b号码:321

经过打电话验证为联通的。

实验二SDH链形组网配置一、实验目的

通过本实验了解2M 业务在链型组网方式时候的配置。

二、实验内容

采用链形组网方式时,需要 3 套SDH 设备。

以OPTIX 155M/622 组网时候为例实际连接图如下:

实验步骤:

1. 创建网元

2. 配置网元硬件

3. 创建纤缆连接

4. 创建保护子网

5. 配置网元公务电话参数

6. 配置网元系统时钟

7. 配置SDH 业务

三、实验总结

1.分析和总结各项测试结果。

(1)拔插2M 口,看是否有告警?何种告警?

(查告警时先清除网元当前告警,再同步网元当前告警后再查看)

(2)打公务电话验证

经测试,电话为联通。

实验三SDH环形组网配置

一、实验目的

通过本实验了解2M 业务在环形组网方式时候的配置。验证环形组网时的自愈保护功能。

二、实验内容

采用环形组网方式时,需要 3 套SDH 设备。要求配置成PP 环(单向通道保护环)

实际连接图如下:

实验步骤

采用T2000 网管进行配置,结合链型组网实验进行配置。

三、实验总结

1.分析和总结各项测试结果。

告警信息

2、拨打公务电话

会议电话为999,电话a为123,电话b为213,电话c为321,经测试,都为联通状态。

实验四VLAN互通(LanSwitch方式)配置

一、实验目的

1.了解VLAN 知识,掌握在MA5300 上配置VLAN 的方法。

2.把若干个用户划分到同一个VLAN,实现用户互通。

二、实验内容

VLAN(Virtual Local Area Network)即虚拟局域网,通过将局域网内的设备逻辑划分

成一个个网段,从而实现虚拟工作组。VLAN 技术是将一组有着相同需求的计算机工作站从逻辑上划分到同一个虚拟局域网,它与物理上组成的局域网有着相同的属性。正由于它是逻辑的而不是物理的划分,因此同一个VLAN 内的各个工作站无需被放置在同一个物理空间里,也就是说这些工作站可以属于不同的物理LAN 网段。同一个VLAN 内的端口可以实现二层报文的互通,不同VLAN 之间的端口二层是隔离的,即一个VLAN 内的广播和单播流量不会转发到其他VLAN 中,这样有助于控制流量、减少设备投资、简化网络管理、提高网络的安全性。实验网络示意图如下图:

实验步骤(不要在PC1 上进行)

1.更改MA5300 工作语言为中文

命令:terminal language

2.进入终端配置模式

命令:configure terminal

3.配置VLAN

⑴建立VLAN

命令:vlan VLAN 号(2~4000)

⑵端口包含(将ADSL 端口和以太网端口包含在VLAN 中)

命令:switchport ethernet 7/2/Y adsl 0/0/X

⑶退出VLAN 配置

命令:exit

4.配置线路模板(配置ADSL 具体业务)

命令:adsl line-profile add 线路模板号(3~99)

具体业务数据:0 (模板类型)

y (进行基本配置)

0 (ADSL工作模式)

1 (采用格栅编码)

2 (禁用上行通道位交换)

2 (禁用下行通道位交换)

y (设置通道工作方式)

通信工程实习指导书宽带接入实验

西南交通大学信息科学与技术学院通信工程系 6

0 (交织方式)

y (设置交织深度)

8 (下行最大交织延时)

8 (上行最大交织延时)

y (设置MODEM噪声容限)

0 (下行最小噪声容限)

31 (下行最大噪声容限)

12 (下行目标噪声容限)

0 (上行最小噪声容限)

31 (上行最大噪声容限)

12 (上行目标噪声容限)

y (设置速率参数)

32 (下行最小速率)

2048 (下行最大速率)

32 (上行最小速率)

640 (上行最大速率)

5.将ADSL 端口与线路模版绑定并激活。

命令:adsl activate adsl 0/0/X 线路模板号

6.建立ADSL 虚连接。

命令:adsl pvc vpi 0 vci 35 adsl 0/0/X

7.退出终端配置模式。

命令:exit

1.PC1 通过网线与ADSL 调制解调器连接,ADSL 调制解调器通过电话线连接到分离器,

分离器通过电话线与实验桌接口板上的电话线接口连接。

三、实验总结

1.在PC1 上用ping 命令连PC2 的IP 地址,可以通表示实验正确。

当开始输入代码的时候,pc1上是无法ping通pc2的,代码输入完成时pc1就可以ping通pc2了;数据清除完成pc1就无法ping通pc2了。

实验五非拨号方式出INTERNET网方式

一、实验目的

1.了解非拨号方式上网的基本原理和组网结构。

2.掌握在MA5300 上配置非拨号方式上网的方法。

3.在终端计算机上以非拨号方式连通INTERNET 网。

二、实验内容

非拨号方式是一种主要的互联网接入方式,用户无需经过登录操作,只要打开计算机,并有网线连接的情况下就可上网,又分为固定IP 地址和非固定IP 地址两种方式。

实验网络示意图如下图

实验步骤

1.配置路由器(不要在PC1和PC2上进行)。

⑴登录路由器(登录计算机的IP地址必须与路由器地址在同一网段)。

路由器默认地址:192.168.1.1。

用户名:admin

密码:admin

⑵配置WAN口。

WAN口连接类型:静态IP

IP地址:222.18.54.46

子网掩码:255.255.255.0

网关:222.18.54.1

⑶配置LAN口。

IP地址:可以任意设定,但不能是公网合法IP地址,设定后要牢记。

子网掩码:255.255.255.0

⑷ DHCP服务器:启用。

⑸重启路由器。

⑹实验结束后将路由器恢复为出厂默认设置。

2.配置MA5300(不要在PC1上进行)。

三、实验总结

在PC1上用ping命令连WAN口的IP地址,可以通表示实验正确。

当开始输入代码的时候,pc1上是无法ping通pc2的,代码输入完成时pc1就可以ping通pc2了;数据清除完成pc1就无法ping通pc2了。

图为清除数据时的pc1 ping pc2的表示

通信工程专业综合实验报告..

通信工程专业综合实验 实验报告 (移动通信系统和网络协议部分) 姓名: 学号: 班级: 指导教师:

实验一:主被叫实验 一、实验目的 1、掌握移动台主叫正常接续时的信令流程。 2、了解移动台主叫时被叫号码为空号时的信令流程。 3、了解移动台主叫时被叫用户关机或处于忙状态时的信令流程。 4、了解移动台主叫时被叫用户振铃后长时间不接听的信令流程。 5、掌握移动台被叫正常接续时的信令流程。 6、掌握通话结束呼叫释放时的信令流程。 7、了解被叫用户振铃后长时间不接听时移动台被叫的信令流程。 二、实验仪器 1、移动通信实验箱一台; 2、台式计算机一台; 3、小交换机一台: 三、实验原理 处于开机空闲状态的移动台要建立与另一用户的通信,在用户看来只要输入被叫号码,再按发送键,移动台就开始启动程序直到电话拨通。实际上,移动台和网络要经许多步骤才能将呼叫建立起来。以移动台和移动台进行通信为例,就包括主叫移动台和主叫MSC建立信令链接、主叫MSC通过被叫电话号码对被叫用户进行选路,即寻找被叫所处的MSC、被叫MSC寻呼被叫MS并建立信令连接过程等三个过程。本实验主要是让学生掌握移动通信中移动台主叫时MS和MSC之间的信令过程、以及为了完成通话连接,主叫MSC和被叫MSC之间的信令过程(即七号信令中的部分消息)。 四、实验内容 1、记录正常呼叫的过程中,移动台主叫部分和被叫部分的信令流程 2、记录被叫关机时,移动台主叫部分的信令流程 3、记录被叫振铃后无应答时,移动台主叫部分和被叫部分的信令流程 4、记录被叫号码无效时,移动台主叫的信令流程 5、记录通话结束后,呼叫链路释放的信令流程 五、实验步骤 主叫实验: 1、通过串行口将实验箱和电脑连接,给实验箱上电。将与实验箱相连的电脑上的学生平台程序打开。在主界面上双击“主叫实验”图标,进入此实验界面。 2、点击“初始化”键,看到消息框中出现“初始化”完成。再点击“开机”键,从而使移动台处于开机状态。

生产系统建模与及仿真实验报告

生产系统建模与及仿真 实验报告 实验一Witness仿真软件认识 一、实验目的 1、学习、掌握Witness仿真软件的主要功能与使用方法; 2、学习生产系统的建模与仿真方法。 二、实验内容 学习、掌握Witness仿真软件的主要功能与使用方法 三、实验报告要求 1、写出实验目的: 2、写出简要实验步骤; 四、主要仪器、设备 1、计算机(满足Witness仿真软件的配置要求) 2、Witness工业物流仿真软件。 五、实验计划与安排 计划学时4学时 六、实验方法及步骤 实验目的: 1、对Witness的简单操作进行了解、熟悉,能够做到基本的操作,并能够进行简单的基础建模。 2、进一步了解Witness的建模与仿真过程。 实验步骤: Witness仿真软件是由英国lanner公司推出的功能强大的仿真软件系统。它可以用于离散事件系统的仿真,同时又可以用于连续流体(如液压、化工、水力)系统的仿真。目前已成功运用于国际数千家知名企业的解决方案项目,有机场设施布局

优化、机场物流规划、电气公司的流程改善、化学公司的供应链物流系统规划、工厂布局优化和分销物流系统规划等。 ◆Witness的安装与启动: ?安装环境:推荐P4 1.5G以上、内存512MB及以上、独立显卡64M以上显存,Windows98、Windows2000、Windows NT以及Windows XP的操作系统支持。 ?安装步骤:⑴将Witness2004系统光盘放入CD-ROM中,启动安装程序; ⑵选择语言(English);⑶选择Manufacturing或Service;⑷选择授权方式(如加密狗方式)。 ?启动:按一般程序启动方式就可启动Witness2004,启动过程中需要输入许可证号。 ◆Witness2004的用户界面: ?系统主界面:正常启动Witness系统后,进入的主界面如下图所示: 主界面中的标题栏、菜单栏、工具栏状态栏等的基本操作与一般可视化界面操作大体上一致。这里重点提示元素选择窗口、用户元素窗口以及系统布局区。 ?元素列表窗口:共有五项内容,分类显示模型中已经建立和可以定义的模型元素。Simulation中显示当前建立的模型中的所有元素列表;Designer中显示当前Designer Elements中的所有元素列表;System中显示系默认的特殊地点;Type中

通信综合实训系统实验报告

通信综合实训系统实验 (程控交换系统实验) 学生姓名 学号 专业班级通信工程班 指导老师 年月日

实验1 局内呼叫处理实验 一、实验目的 1. 通过对模拟用户的呼叫追踪,加深对程控交换机呼叫处理过程的理解; 2. 掌握程控交换机配置数据的意义及原理; 3. 根据设计要求,完成对程控交换机本局数据的配置。 二、实验内容 1.学习ZXJ10 程控交换机本局数据配置方法; 2.模拟用户动态跟踪,深入分析交换机呼叫流程; 3.按照实验指导书的步骤配置本局数据,电话号码7000000~7000023 分配到ASLC 板 卡的0~23 端口,并用7000000 拨打7000001 电话,按照实验指导书方法创建模拟用 户呼叫跟踪,观察呼叫动态迁移,理解单模块呼叫流程。 4.本局数据配置需要配置如下: 局信息配置 局容量数据配置 交换局配置 物理配置 号码管理、号码分析 三、实验仪器 程控交换机 1 套 维护终端若干 电话机若干四、实验步骤 (一)、启动后台维护控制中心 启动程控交换机网管终端计算机,点击桌面快捷方式的,启动后的维护控制中心如下图2-1(利用众友开发软件CCTS可省略该步骤): (二)、启动操作维护台 选中后台维护系统控制中心,单击右键,选中【启动操作维护平台】, 出现如下的对话框,输入操作员名【SYSTEM】, 口令为空,单击【确定】后,将会登陆操作维护系统。

(三)、告警局配置 打开“系统维护(C)”---- “告警局配置(B)”,点击“局信息配置(B)”后,弹出如下界面。 输入该局的区号532,局号 1 ,然后点击【写库】。 (四)、局容量数据配置 打开【基本数据管理】-【局容量数据配置】, 点击后弹出如下操作界面(分别进行全局容量、各模块容量进行规划设置),点击【全局规划】,出现如下的对话框. 点击【全部使用建议值】, 当前值自动填上系统默认的数值,点击【确定】后返回容量规划界面,点击【增加】, 模块号 2 ,MP内存128 ,普通外围、远端交换模块,填写完,点击【全部使用建议值】。 (五)、交换局配置 在后台维护系统打开[数据管理→基本数据管理→交换局配置]弹出如下的对话框,按照 图示,只填写【本交换局】-【交换局配置数据】,点击设置。 (六)、物理配置 在后台维护系统打开[数据管理→基本数据管理→物理配置]: 1. 新增模块 点击【新增模块】,填完模块号,选中紧凑型外围交换模块,点击确定,返回开始的对话 框。

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

仿真实验报告经典案例概述

XXXXX 实验报告 学院(部)XX学院 课程名称生产系统仿真实验 学生姓名 学号 专业 2012年9月10日

《生产系统仿真》实验报告 年月日 学院年级、专业、班实验时间9月10日成绩 课程名称生产系统仿真 实训项目 名称 系统仿真软件的基础应 用 指导 教师 一、实验目的 通过对Flesim软件进一步的学习,建立模型,运用Flesim软件仿真该系统,观察并分析运行结果,找出所建模型的问题并进行改进,再次运行循环往复,直到找出构建该系统更为合理的模型。 二、实验内容 1、建立生产模型。 该模型生产三种产品,产品到达速率服从均值为20、方差为2的正态分布;暂存器的最大容量为25个;检测器的检测时间服从均值为30的指数分布,预制时间为10s;传送带的传送速率为1m/s,带上可容纳的最大货件数为10个。 2、运行生产模型。 3、对运行结果进行分析,提出改进方案在运行,直到找到更为合理的模型。 三、实验报告主要内容 1、根据已有数据建立生产模型。 将生产系统中所需实体按组装流程进行有序的排列,并进行连接如图1所示

图1 2、分别对发生器、暂存器、检验台和传送带进行参数设置。 (1)发生器的产品到达速率服从均值为20、方差为2的正态分布。如图2所示。 (2)暂存器的最大容量设置为25件。如图3所示。 (3)设置检验台的检测时间服从均值为30s的指数分布,预制时间为10s.如图4所示。 (4)传送带的传送速率为1m/s,最大容量为10件。如图5所示 图2 图3 图4 图5 3、对发生器及暂存器进一步设置。 (1)发生器在生成产品时设置三种不同类型的产品,通过颜色区分。如图6所示。 (2)暂存器在输出端口通过设置特定函数以使不同颜色的产品在不同的检验台检验。如图7所示。

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

通信技术综合实验报告

综合实验报告 ( 2010-- 2011年度第二学期) 名称:通信技术综合实验题目:SDH技术综合实验院系:电子与通信工程系班级: 学号: 学生姓名: 指导教师: 设计周数:两周 成绩: 日期:2011年 6 月

A C B D S1 P1S1 P1 主用 备用 AC AC 环形保护组网配置实验 一、实验的目的与要求 1、实验目的: 通过本实验了解2M 业务在环形组网方式时候的配置。 2、实验要求: 在SDH1、SDH2、SDH3配置成环网,开通SDH2到SDH3两个节点间的2M 业务,并提供环网保护机制。 1)掌握二纤单向保护环的保护机理及OptiX 设备的通道保护机理。 2)掌握环形通道保护业务配置方法。采用环形组网方式时,提供3套SDH 设备,要求配置成虚拟单向通道保护环。 3)了解SDH 的原理、命令行有比较深刻,在做实验之前应画出详细的实际网络连接图,提交实验预习报告,要设计出实验实现方案、验证方法及具体的步骤。 4)利用实验平台自行编辑命令行并运行验证实验方案,进行测试实验是否成功。 二、实验正文 1.实验原理 单向通道保护环通常由两根光纤来实现,一根光纤用于传业务信号,称S 光纤;另一根光纤传相同的信号用于保护,称P 光纤。单向通道保护环使用“首端桥接,末端倒换”结构如下图所示: 业务信号和保护信号分别由光纤S1和P1携带。例如,在节点A ,进入环以节点C 为目的地的支路信号(AC )同时馈入发送方向光纤S1和P1。其中,S1光纤按ABC 方向将业务信号送至节点C ,P1光纤按ADC 方向将同样的信号作为保护信号送至分路节点C 。接收端分路节点C 同时收到两个方向支路信号,按照分路通道信号的优劣决定选其中一路作为分路信号,即所谓末端选收。正常情况下,以S1光纤送来信号为主信号。同时,从C 点插入环以节点A 为目的地的支路信号(CA)按上述同样方法送至节点A 。

物流系统flexsim仿真实验报告

广东外语外贸大学 物流系统仿真实验 通达企业立体仓库实验报告 指导教师:翟晓燕教授专业:物流管理1101 姓名:李春立 20110402088 吴可为 201104020117 陈诗涵 201104020119 丘汇峰 201104020115

目录 一、企业简介 (2) 二、通达企业立体仓库模型仿真 (2) 1................................ 模型描述:2 2................................ 模型数据:3 3.............................. 模型实体设计4 4.................................. 概念模型4 三、仿真模型内容——Flexsim模型 (4) 1.................................. 建模步骤4 2.............................. 定义对象参数5 四、模型运行状态及结果分析 (7) 1.................................. 模型运行7 2................................ 结果分析:7 五、报告收获 (9) 一、企业简介 二、通达企业立体仓库模型仿真 1. 模型描述: 仓储的整个模型分为入库和出库两部分,按作业性质将整个模型划分为暂存区、分拣区、

储存区以及发货区。 入库部分的操作流程是: ①.(1)四种产品A,B,C,D首先到达暂存区,然后被运输到分类输 送机上,根据设定的分拣系统将A,B,C,D分拣到1,2,3,4,端口; ②.在1,2,3,4,端口都有各自的分拣道到达处理器,处理器检验合格 的产品被放在暂存区,不合格的产品则直接吸收掉;每个操作工则将暂存 区的那些合格产品搬运到货架上;其中,A,C产品将被送到同一货架上, 而B,D则被送往另一货架; ③.再由两辆叉车从这两个货架上将A/B,C/D运输到两个暂存区上; 此时,在另一传送带上送来包装材料,当产品和包装材料都到达时,就可 以在合成器上进行对产品进行包装。 出库部分的操作流程是:包装完成后的产品将等待被发货。 2. 模型数据: ①.四种货物A,B,C,D各自独立到达高层的传送带入口端: A: normal(400,50) B: normal(400,50) C: uniform(500,100) D: uniform(500,100) ②.四种不同的货物沿一条传送带,根据品种的不同由分拣装置将其推 入到四个不同的分拣道口,经各自的分拣道到达操作台。 ③.每检验一件货物占用时间为60,20s。 ④.每种货物都可能有不合格产品。检验合格的产品放入检验器旁的暂 存区;不合格的吸收器直接吸收;A的合格率为95%,B为96%,C的合格 率为97%,D的合格率为98%。 ⑤.每个检验操作台需操作工一名,货物经检验合格后,将货物送至货 架。 ⑥.传送带叉车的传送速度采用默认速度(包装物生成时间为返回60 的常值),储存货物的容器容积各为1000单位,暂存区17,18,21容量为 10;

数字通信系统设计实验报告

实验1:用 Verilog HDL 程序实现乘法器 1实验要求: (1) 编写乘法器的 Veirlog HDL 程序. (2) 编写配套的测试基准. (3) 通过 QuartusII 编译下载到目标 FPGA器件中进行验证 (4) 注意乘法逻辑电路的设计. 2 试验程序: Module multiplier(input rst,input clk,input [3:0]multiplicand, input [3:0]multiplier,input start_sig,output done_sig,output [7:0]result); reg [3:0]i; reg [7:0]r_result; reg r_done_sig; reg [7:0]intermediate; always @ ( posedge clk or negedge rst ) if( !rst ) begin i<=4'b0; r_result<=8'b0; end else if(start_sig) begin case(i) 0: begin intermediate<={4'b0,multiplicand}; r_result<=8'b0; i<=i+1; end 1,2,3,4: begin if(multiplier[i-1]) begin r_result<=r_result+intermediate; end intermediate<={intermediate[6:0],1'b0}; i<=i+1; end 5: begin r_done_sig<=1'b1;

i<=i+1; end 6: begin r_done_sig<=1'b0; i<=1'b0; end endcase end assign result=r_done_sig?r_result:8'bz; assign done_sig=r_done_sig; endmodule3 测试基准: `timescale 1 ps/ 1 ps module multiplier_simulation(); reg clk; reg rst; reg [3:0]multiplicand; reg [3:0]multiplier; reg start_sig; wire done_sig; wire [7:0]result; /***********************************/ initial begin rst = 0; #10; rst = 1; clk = 1; forever #10 clk = ~clk; end /***********************************/ multiplier U1 ( .clk(clk), .rst(rst), .multiplicand(multiplicand), .multiplier(multiplier), .result(result), .done_sig(done_sig), .start_sig(start_sig) ); reg [3:0]i; always @ ( posedge clk or negedge rst ) if( !rst )

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

通信工程综合实验报告

通信工程专业综合实验实验报 (计算机网络部分)姓名: 学号: 班级: 指导教师:

实验一路由器基本操作 一、实验内容 1、通过Console 方式对路由器或交换机进行管理操作。 2、完成Telnet 方式对路由器或交换机访问操作。 3、利用tftp server 实现计算机和设备(交换机和路由器)之间的数据备份。 二、实验组网图 三、实验步骤 1用每台PC提供的Console连线和网线,选择一台路由器或者交换机连接好。 2、网线连接时,注意选择正确的接口(区分两种不同的以太网接口)。 3、按照实验指导书完成各项试验内容。 4、完成试验后,备份你试验中形成的配置文件,用U盘考走,用于写试验报告。 四、路由器的配置文件内 容 # version , Release 1809P01 # sysname H3C % # super password level 3 simple test 码为test 明文 # domain default enable system # telnet server enable 更改系统名为H3C % 用户级别切换到level 3 的密% 域名系统默认启用 %telnet 服务启用 #

dar p2p signature-file flash:/ # port-security enable # vlan 1 domain system access-limit disable state active idle-cut disable self-service-url disable 端口安全启用虚拟局域网 1 默认系统配置 user-group system # local-user admin password cipher .]@USE=B,53Q=AQ'MAF4<1!! authorization-attribute level 3 % service-type telnet % local-user test % password cipher =W6JJ'N_LBKQ=A Q'MAF4<1!! % service-type telnet # interface Aux0 用户群系统 本地用户admin 密码显示为密文显示设置权限为level 3 服务方式为远程登录本地用户名改为test 密码显示为密文显示服务方式为远程登录 设置Aux0 async mode flow link-protocol ppp # interface Cellular0/0 配置Cellular0/0 async mode protocol link-protocol ppp # interface Ethernet0/0 配置Ethernet0/0 port link-mode route ip address %ip # 地址为24 interface Serial0/0 link-protocol ppp # interface NULL0 interface Vlan- interface1 ip address # 设置ip 及掩码interface Ethernet0/1 port link-mode bridge

物流系统flexsim仿真实验报告

物流系统f l e x s i m仿真 实验报告 文件排版存档编号:[UYTR-OUPT28-KBNTL98-UYNN208]

广东外语外贸大学 物流系统仿真实验 通达企业立体仓库实验报告 指导教师:翟晓燕教授专业:物流管理1101

目录

一、企业简介 二、通达企业立体仓库模型仿真 1.模型描述: 仓储的整个模型分为入库和出库两部分,按作业性质将整个模型划分为暂存区、分拣区、储存区以及发货区。 入库部分的操作流程是: ①.(1)四种产品A,B,C,D首先到达暂存区,然后被运 输到分类输送机上,根据设定的分拣系统将A,B,C,D分拣到 1,2,3,4,端口; ②.在1,2,3,4,端口都有各自的分拣道到达处理器,处理 器检验合格的产品被放在暂存区,不合格的产品则直接吸收掉; 每个操作工则将暂存区的那些合格产品搬运到货架上;其中,A, C产品将被送到同一货架上,而B,D则被送往另一货架; ③.再由两辆叉车从这两个货架上将A/B,C/D运输到两个 暂存区上;此时,在另一传送带上送来包装材料,当产品和包装 材料都到达时,就可以在合成器上进行对产品进行包装。 出库部分的操作流程是:包装完成后的产品将等待被发货。 2.模型数据: ①.四种货物A,B,C,D各自独立到达高层的传送带入口端:

A:normal(400,50)B:normal(400,50)C:uniform(500,100)D:uniform(500,100) ②.四种不同的货物沿一条传送带,根据品种的不同由分拣 装置将其推入到四个不同的分拣道口,经各自的分拣道到达操作 台。 ③.每检验一件货物占用时间为60,20s。 ④.每种货物都可能有不合格产品。检验合格的产品放入检 验器旁的暂存区;不合格的吸收器直接吸收;A的合格率为95%, B为96%,C的合格率为97%,D的合格率为98%。 ⑤.每个检验操作台需操作工一名,货物经检验合格后,将 货物送至货架。 ⑥.传送带叉车的传送速度采用默认速度(包装物生成时间 为返回60的常值),储存货物的容器容积各为1000单位,暂存 区17,18,21容量为10; ⑦.分拣后A、C存放在同一货架,B、D同一货架,之后由 叉车送往合成器。合成器比例A/C : B/D : 包装物 = 1: 1 :4 整个流程图如下: 3.模型实体设计

杭电通信系统课程设计报告实验报告

通信系统课程设计实验报告 XX:田昕煜 学号:13081405 班级:通信四班 班级号:13083414 基于FSK调制的PC机通信电路设计

一、目的、容与要求 目的: 掌握用FSK调制和解调实现数据通信的方法,掌握FSK调制和解调电路中相关模块的设计方法。初步体验从事通信产品研发的过程. 课程设计任务:设计并制作能实现全双工FSK调制解调器电路,掌握用Orcad Pspice、Protel99se进行系统设计及电路仿真。 要求:合理设计各个电路,尽量使仿真时的频率响应和其他参数达到设计要求。尽量选择符合标称值的元器件构成电路,正确完成电路调试。 二、总体方案设计 信号调制过程如下: 调制数据由信号发生器产生(电平为TTL,波特率不超过9600Baud),送入电平/幅度调整电路完成电平的变换,再经过锁相环(CD4046),产生两个频率信号分别为30kHz和40kHz(发“1”时产生30kHz方波,发“0”时产生40kHz方波),再经过低通滤波器2,变成平滑的正弦波,最后通过线圈实现单端到差分信号的转换。

信号的解调过程如下: 首先经过带通滤波器1,滤除带外噪声,实现信号的提取。在本设计中FSK 信号的解调方式是过零检测法。所以还要经过比较器使正弦信号变成方波,再经过微分、整流电路和低通滤波器1实现信号的解调,最后经过比较器使解调信号成为TTL电平。在示波器上会看到接收数据和发送数据是一致的。 各主要电路模块作用: 电平/幅度调整电路:完成TTL电平到VCO控制电压的调整; VCO电路:在控制电压作用下,产生30KHz和40KHz方波; 低通2:把30KHz、40KHz方波滤成正弦波; 线圈:完成单端信号和差分信号的相互转换; 带通1:对带外信号抑制,完成带信号的提取; 限放电路:正弦波整形成方波,同时保留了过零点的信息; 微分、整流、脉冲形成电路:完成信号过零点的提取; 低通1:提取基带信号,实现初步解调; 比较器:把初步解调后的信号转换成TTL电平 三、单元电路设计原理与仿真分析 (1)带通1(4阶带通)-- 接收滤波器(对带外信号抑制,完成带信号的提取) 要求通带:26KHz—46KHz,通带波动3dB; 阻带截止频率:fc=75KHz时,要求衰减大于10dB。经分析,二级四阶巴特沃斯带通滤波器来提取信号。 具体数值和电路见图1仿真结果见图2。

宽带通信网综合实验报告

《宽带通信网综合实验报告》 组员:XX 组员:XX 学院:通信工程学院

FTTx实验 【实验步骤和结果】 1、根据图13所示,搭建系统,其中三台ONU接计算机终端,还有一台ONU 接IPTV机顶盒。用ping命令检查接入系统是否可以连通?如果不能连通,请分析原因。如果可以连通,使用tracert命令检查路由,并给出HTTx的路由信息。 图1(ping) 图2(tracert) 2、用ipconfig检查接入终端的IP地址和网关,记录下来,并与LAN接入的地 址相比较,它们有什么不同?原因是什么? 经比较发现,两个地址的网段不同。

图3为ipconfig命令 图4为LAN接入地址 3、用telnet远程登录R4101路由器,记录有关光接口的配置信息。 ESR实验 【实验步骤和结果】 1、搭建系统,将三台S2016交换机组成一个ESR环,确定主节点为S2016(1),从节点 为S2016(2)和S2016(3)。

(1)先配置主交换机: (2)进入ESR配置模式,并将该交换机配置成主站: (3)置ESR环所用接口和VLAN,并使能该ESR: (4)配置从交换机: 先对S2016(2)进行配置:

步骤同上,对S2016(3)进行相同配置。 (5)使用ping 192.168.6.254命令查看网络,网络连通成功。 3、人为切断ESR环路,由于前面对主、从交换机的成功配置,使得ESR域的master node 控制其第二接口的阻塞实现了保护倒换功能。系统正常运行。

WLAN实验 【实验步骤和结果】 1、按照上面介绍的无线AP和连接计算机的配置方法进行配置,配置完成后, 用无线网卡接入(注意输入密钥),连接后,使用ping 192.168.0.1命令查看网络是否连通?如果网络连通,使用ipconfig命令查看连接计算机的IP地址、网关以及DNS,记录相关信息。使用tracert 192.168.0.1命令查看路由,并分析该路由。 图1 (配置界面图)

生产系统仿真实验报告

实验一:工艺原则布置 实验项目名称:工艺原则布置( ) 实验项目性质:综合性实验 所属课程名称:《设施规划与物流分析》 实验计划学时:学时 一、实验目的 通过本实验,掌握四种布置设计方法中最常用的工艺原则布置。 二、实验内容和要求 对于常用的工艺原则布置设计,最常用的设计方法为新建法()和改建法(),最常用的工具是从至表()。 本试验要求学生在熟练掌握工艺原则布置方法的基础上,使用物流仿真软件实现布置设计。 要求: . 认真学习教材第章第节 . 复习运筹学的二次分配问题 . 预先查阅遗传算法相关基本概念 三、实验主要仪器设备和材料 电脑,软件 四、实验方法、步骤及结果测试 见附录一 五、实验报告要求 实验报告要求:任选思考题中的一题 . 教材方法求解,确定你的最佳布置并计算物流量大小。 . 进行建模,可以仿照附录的步骤进行,相关的图、表、文字说明全过程体现在试验报告内。 . 请考虑并回答问题:如果只知道搬运量的从至表和作业单位设施的面积,以及总面积大小,具体位置不能确定,这时我们一般采用的是方法来进行布置设计,如何在实现?不需要你在里面建模,但是希望你考虑实现的方法和一些设想,请把这些思考内容体现在你的实验报告最后,这是体现综合性和设计性的关键点,也是决定你的成绩的评判标准之一。 这里我们统一:假设有台设备要布置到个工作地 .作业单位到作业单位之间如果有物料交换,则二者间的搬运量为。(,…) (,…) .工作地到工作地之间搬运距离为。(,…) (,…) .总的物流量:,而工艺原则布置优劣评判的其中一个标准是。 问题回答: 、通过作业单位搬运量从至表和作业单位距离从至表运行程序得出物流相关表。

通信系统仿真实验报告(DOC)

通信系统实验报告——基于SystemView的仿真实验 班级: 学号: 姓名: 时间:

目录 实验一、模拟调制系统设计分析 -------------------------3 一、实验内容-------------------------------------------3 二、实验要求-------------------------------------------3 三、实验原理-------------------------------------------3 四、实验步骤与结果-------------------------------------4 五、实验心得------------------------------------------10 实验二、模拟信号的数字传输系统设计分析------------11 一、实验内容------------------------------------------11 二、实验要求------------------------------------------11 三、实验原理------------------------------------------11 四、实验步骤与结果------------------------------------12 五、实验心得------------------------------------------16 实验三、数字载波通信系统设计分析------------------17 一、实验内容------------------------------------------17 二、实验要求------------------------------------------17 三、实验原理------------------------------------------17 四、实验步骤与结果------------------------------------18 五、实验心得------------------------------------------27

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

FLEXSIM软件在生产物流系统仿真实验报告

FLEXSIM软件在生产物流系统仿真实验报告 专业:学号:姓名: 1.FLEXSIM软件简介 Flexsim是一个强有力的分析工具,可帮助工程师和设计人员在系统设计和运作中做出智能决策。采用Flexsim,可以建立一个真实系统的3D计算机模型,然后用比在真实系统上更短的时间或者更低的成本来研究系统。 Flexsim是一个通用工具,已被用来对若干不同行业中的不同系统进行建模。Flexsim已被大小不同的企业成功地运用。使用Flexsim可解决的3个基本问题 1)服务问题 - 要求以最高满意度和最低可能成本来处理用户及其需求。 2)制造问题 - 要求以最低可能成本在适当的时间制造适当产品。 3)物流问题 - 要求以最低可能成本在适当的时间,适当的地点,获得适当的产品。 2.实验内容及目的 在这一个实验中,我们将研究三种产品离开一个生产线进行检验的过程。有三种不同类型的临时实体将按照正态分布间隔到达。临时实体的类型在类型1、2、3三个类型之间均匀分布。当临时实体到达时,它们将进入暂存区并等待检验。有三个检验台用来检验。一个用于检验类型1,另一个检验类型2,第三个检验类型3。检验后的临时实体放到输送机上。在输送机终端再被送到吸收器中,从而退出模型。图1-1是流程的框图。 本实验的目的是学习以下内容:

?如何建立一个简单布局 ?如何连接端口来安排临时实体的路径 ?如何在Flexsim实体中输入数据和细节 ?如何编译模型 ?如何操纵动画演示 ?如何查看每个Flexsim实体的简单统计数据 3.实验过程 为了检验Flexsim软件安装是否正确,在计算机桌面上双击Flexsim3.0图标打开应用程序。软件装载后,将看到Flexsim菜单和工具按钮、库、以及正投影视图的视窗。 步骤1:从库里拖出所有实体拖到正投影视图视窗中,如图1-3所示: 图1-3 完成后,将看到这样的一个模型。模型中有1个发生器、1个暂存区、3个处理 器、3个输送机和1个吸收器。 步骤2:连接端口 下一步是根据临时实体的路径连接端口。连接过程是:按住“A” 键,然后用鼠标左键点击发生器并拖曳到暂存区,再释放鼠标键。拖曳时你将看到一条黄线,

通信综合实训系统实验报告

. 通信综合实训系统实验 (程控交换系统实验) 学生姓名 学号 专业班级通信工程班 指导老师 年月日

实验1 局内呼叫处理实验 一、实验目的 1.通过对模拟用户的呼叫追踪,加深对程控交换机呼叫处理过程的理解; 2.掌握程控交换机配置数据的意义及原理; 3.根据设计要求,完成对程控交换机本局数据的配置。 二、实验内容 1.学习ZXJ10程控交换机本局数据配置方法; 2.模拟用户动态跟踪,深入分析交换机呼叫流程; 3.按照实验指导书的步骤配置本局数据,电话号码7000000~7000023分配到ASLC板 卡的0~23端口,并用7000000拨打7000001电话,按照实验指导书方法创建模拟用户呼叫跟踪,观察呼叫动态迁移,理解单模块呼叫流程。 4.本局数据配置需要配置如下: 局信息配置 局容量数据配置 交换局配置 物理配置 号码管理、号码分析 三、实验仪器 程控交换机1套 维护终端若干 电话机若干 四、实验步骤 (一)、启动后台维护控制中心 启动程控交换机网管终端计算机,点击桌面快捷方式的,启动后的维护控制中心如下图2-1(利用众友开发软件CCTS可省略该步骤): (二)、启动操作维护台 选中后台维护系统控制中心,单击右键,选中【启动操作维护平台】,出现如下的对话框,输入操作员名【SYSTEM】,口令为空,单击【确定】后,将会登陆操作维护系统。

(三)、告警局配置 打开“系统维护(C)”----“告警局配置(B)”,点击“局信息配置(B)”后,弹出如下界面。 输入该局的区号532,局号1,然后点击【写库】。 (四)、局容量数据配置 打开【基本数据管理】-【局容量数据配置】,点击后弹出如下操作界面(分别进行全局容量、各模块容量进行规划设置),点击【全局规划】,出现如下的对话框. 点击【全部使用建议值】,当前值自动填上系统默认的数值,点击【确定】后返回容量规划界面,点击【增加】, 模块号2,MP内存128,普通外围、远端交换模块,填写完,点击【全部使用建议值】。 (五)、交换局配置 在后台维护系统打开[数据管理→基本数据管理→交换局配置]弹出如下的对话框,按照图示,只填写【本交换局】-【交换局配置数据】,点击设置。 (六)、物理配置 在后台维护系统打开[数据管理→基本数据管理→物理配置]:

相关主题
文本预览
相关文档 最新文档