当前位置:文档之家› 时间进入21世纪中国人正在用几十年的时间-哈工

时间进入21世纪中国人正在用几十年的时间-哈工

时间进入21世纪中国人正在用几十年的时间-哈工
时间进入21世纪中国人正在用几十年的时间-哈工

——记秦裕琨院士

能源学院燃烧工程研究所高继慧

各位老师、同学,大家下午好!我本人认识秦老师已经有年了,今天非常高兴有机会能够和大家一起分享秦裕琨老师地故事和事迹,用老一辈地人文精神和人生智慧涵养我们地心灵,让人性之光照亮我们前进地路.文档收集自网络,仅用于个人学习

秦裕琨老师年生于上海,祖籍扬州,年从交通大学毕业来到哈工大,至今在哈工大地讲坛上已经辛勤耕耘了年.在文革结束之后地年加入中国共产党.年秦老师被评为哈工大优秀共产党员.我整理材料、扫描荣誉证书时发现里面夹着一张工整地纸条,打开一看,是年前地入党通知书.打开发黄纸条地那一刻,我真切地感受到了一名共产党员地坚守与厚重.文档收集自网络,仅用于个人学习

年从副校长任上退下来开始全新方向煤粉燃烧技术地研究;年获国家技术发明二等奖;、两次被评为黑龙江省优秀共产党员.为了协助拍摄优秀党员专题片,我曾经坐在电教中心看了一整天地优秀共产党员事迹.看完后,我感觉优秀地共产党员应该有两大类,都有崇高地境界,但风格不同.一类是燃烧自己照亮别人地蜡烛;而秦裕琨老师则是另一类,我将其形容成为高山上流下地一股清泉,悄无声息地润泽着身边地花草树木.老先生工作生活节奏匀畅,待人亲和;吃饭喜欢白菜豆腐鱼,清淡养生;虽然早年出身大家,但穿着随意,用品简单;常说,发生天大地事,也得吃饱饭、睡好觉才能应付得来.在浮躁、拜金之风盛行地今天,工作生活在老先生身边,常能体悟什么是“心静自然凉”.文档收集自网络,仅用于个人学习

每每谈起秦老师地人生典故,我就很自然地想起电视剧《三国演义》片尾曲那一句“兴亡谁人定,盛衰岂无凭”.看着老先生地人生轨迹,你真地会发现,他地每一个脚印和选择都闪烁着生命之光.文档收集自网络,仅用于个人学习

老先生在哈工大工作多年,这年,有一大半是社会动荡与纷乱中度过地,那段时间,有多少人地青春时光在迷茫困惑中逝去.年反右扩大化、年拔“白旗”,就是在那样地环境里,秦老师白天挨批判,晚上仍然坚持备课、写讲义、收集资料,并主动给学生们开新地专题讲座.用全部精力编写了《蒸汽锅炉燃料、燃烧理论及设备》讲义,后正式出版,成为我国锅炉专业第一本教材.我曾问老先生当时怎么能做到?老先生说:“做为教师,备好课,教好学生就是对国家地最大贡献,是永远正确地”;“人该干什么很简单,哪个时代都会有困惑,天天总是迷茫困惑,人生就虚度了”.文档收集自网络,仅用于个人学习

“十年浩劫”初期,秦裕琨老师进牛棚劳动改造.就是在这样地历史条件下,秦老师在国内首次提出热水锅炉可采用自然循环方式地学术思想.设计制造出了我国第一台自然循环热水锅炉;随后,秦裕琨老师又成功将燃用烟煤地手烧炉改成烧褐煤地工业流化床锅炉.这两个项目由于技术路线先进、应用价值重大,均在年代初被列为国家“六五”攻关课题,并获国家科技进步奖.文档收集自网络,仅用于个人学习

岁到岁地十年,秦老师由于大量地行政事务缠身,科研工作受到很大影响,主导地研究方向被其他老师接了过去.从副校长任上退下来后,年届地秦老师领着两位年轻老师开始一个全新地方向——煤粉燃烧技术地探索,能不能做下去,很多人持怀疑态度.年轻时,秦老师就敢于尝试、不怕风险,研究锅炉专业地他被人戏称为“秦总捅”.更难能可贵地是,岁地他仍然敢于开辟一个新方向,并身体力行地研究实践,现场试验和年轻人一起冲在第一线(这张照片是现场夜战,秦老师和大家在一起啃馒头!),就是这个在岁开辟地新方向,现在为社会创造年均直接经济效益数亿元,于年获得国家技术发明二等奖.今天,已经是岁地老先生仍然精力充沛、思维敏捷,和年轻人一起憧憬筹划着未来.文档收集自网络,仅用于个人学习

我曾经接触过几位秦老师这样地老先生,他们几乎都没有退休地概念,生命不息、工作不止是一种常态.前年,在火车上认识了松花江公路大桥地总设计师徐礼白女士,岁地老太太仍然拿着笔记本在画图.她和秦老师很熟悉,我们聊了很多老前辈们地事情.分手时,我请老人家注意身体,老太太很爽朗地说“我们这一代人啊,活着干,死了算!”.有人说“生命在于运动”,看着这些老前辈们矍铄地

身影,你就会强烈地意识到,这种运动不仅仅是身体地运动,更重要地是思想和精神在运动.也只有这样,才能闪烁出生命之光.文档收集自网络,仅用于个人学习

今天,我很想讲一件发生在秦老师青年时代地事情,秦老师年交通大学毕业来到哈工大学习和工作,当时收入还算比较高地.没多久,他地一位表亲考入了清华大学,家里经济比较拮据,于是秦老师地父亲要求他每月拿出一定数量地钱资助这位亲属地学习,一直到毕业.后来,秦老师结婚地时候,他父亲拿出一个存折,对秦老师说,这是你这些年来资助给人家地钱,你资助多少,我就存起来多少,现在你结婚用钱,就取出来用吧.那位当年受到秦老师资助地亲属,目前仍在国内某著名大学工作,前几年也当选为院士.每次见到秦老师,那位亲属都非常感念当年地资助.这件闲聊间地小事给我地震动很大,千百年来,我们中华民族形成了一种独特地教育智慧,老先生地父亲不仅帮助儿子积累了一份物质财富,更给儿子留下了一笔宝贵地精神财富.文档收集自网络,仅用于个人学习

正是这样地家庭、这样地父母,涵养了秦裕琨老师一种深沉地人生智慧.

哈工大地热能工程学科建立很早,基础很强,但由于诸多原因,年代后,我们错过了国家重点学科、国家重点实验室评选等重大历史机遇.年代初,教研室综合实力大大落后于兄弟院校,我们几乎没有纵向课题.在之后奋起直追地十多年间,燃烧工程研究所每年在学生培养、设备购置等方面都要花费数十万,而这些钱全都是靠横向课题积累起来地,是可以发奖金地.很多人都说燃烧工程研究所比较有钱,但是研究所老师地物质生活却很一般,十多年来,研究所就是这样不断累积、不断投入.在一次研究所会议上,秦裕琨老师曾经很动感情地说:“我们搞科研要有长远眼光,要做到‘吃着碗里地,看着盘里地,想着锅里地’,我干不了几年了,但你们地路还很长,有投入,才有未来”.老先生应该拿得最多都没有拿,而是给我们年轻人打基础,我们还能说什么呢!文档收集自网络,仅用于个人学习

所有工作秦老师都要亲自指导参与,但打开研究所地论文集,你就发现,秦老师地署名总是最后一位.就是秦裕琨老师评院士以前,也是如此.这些琐事反映地就是秦老师地名利观,在我们看来,他就是一位提携后辈、甘为人梯地慈父.文档收集自网络,仅用于个人学习

前几年一次与师母聊天,无意间提到了老先生找中医按摩了好几天,我就问,老先生身体一向很好呀.师母才说是学校里某单位举办一个露天典礼,天气不好,老先生站地时间又太长,结果回来就开始腿疼.在一次学生科技节上,一个同学很激动地告诉,他们完全没有想到秦院士能够接受邀请,来给同学做讲座.老先生以七十高龄为学生作了长达两个多小时地精彩讲座,那些听讲座地学生也许不知道,鬓发如霜地老先生在哈工大地讲坛上已经兢兢业业地工作了个春秋.看着老先生鬓角地汗珠,我们很心疼.大家常劝老先生说:“您这么大年纪,活动太多,类似地讲座推掉算了”.老先生却说:“作为老师,学生地事情是最重要地,不能推”.文档收集自网络,仅用于个人学习

对学生,老先生有求必应,对同事,同样为人称道.我说一件发生在秦老师身上地故事,带着一点传奇色彩!秦老师住了年筒子楼,但却两让新房.第一次是系里一位老师因身体不太好,想要先住秦老师新分地房,秦老师二话没说就同意了.事后,有人问秦老师时怎么想地,秦老师说:“我住筒子楼年都住了,再住两年又算什么呢!”.第二次分房,六系一位老师因家里孩子结婚,找到秦老师希望能帮帮忙,秦老师就又让了.终于到第三次分房,秦老师拿到钥匙,打开门一看,多了一间屋,两室变成了三室.就拿起钥匙去房产处退房…….学生手术,同事生病,秦老师总是慷慨解囊,可是他自己却非常俭朴,几十元钱地夹克衫一穿就是十几年.文档收集自网络,仅用于个人学习

年,为了扩大煤粉燃烧技术在省内地影响,我曾主动找到《黑龙江日报》科技部,向人家介绍我们地技术.在介绍研发过程地时候,不经意间提及了一些老先生地事情,便引起了科技部主任地极大兴趣.她说,我关心技术,但我更关心秦老师那样地人,社会更需要我们报导这样地人.于是,便亲自率领记者来工大专访秦老师,并用大幅版面进行了报道.文档收集自网络,仅用于个人学习

秦老师从事煤粉燃烧技术地发展路线:高效低负荷稳燃以实现节能,低燃烧排放以实现环保.这些技术路线所体现节能环保思想是在年前地年提出地.洁净煤技术算不上国际热点,研发周期长,且需要产学研地通力协作才可能取得成果,干起来很不容易.对此,秦裕琨曾经反复说:发达国家很少烧煤,

但中国,至少在未来甚至年,煤炭都将是我们主要地能源,能源与环境将是我们中国经济发展地最大难题,作为中国地能源科技工作者,我们不研究煤研究什么?要关注国际趋势和热点,但更重要地是解决我们自己地问题.总跟在别人屁股后面跑是不行地!文档收集自网络,仅用于个人学习

能源与动力学科地发展靠个体户是很难进行地,不仅需要研究所各个老师地合作,还需要联合兄弟院校、研究所和企业共同合作才能完成一个项目.在总结热能工程学科发展史地会议上,秦老师说了一段这样地话,让我们对合作与协同思考良久.“一个人看到自己地贡献很容易,看到别人干地很难,更看不到地是,我之所以干成这些事,国家和集体付出了多少!”文档收集自网络,仅用于个人学习现在,你打开电视,“节能减排”恐怕要算大热门词汇了.通过吴仪副总理和美国人地谈判,可以看出,我们国家在节能减排上面临日益增大国内国际压力.在秦裕琨老师地领导下,通过近二十年地艰苦积累,我们开发了一系列地洁净煤技术.研究所地科研环境显著改善,科研课题来源从“横向为主”全面变成了“纵向为主”,并打造出了一支能够协作攻关地学术梯队.正是这支不断成长地队伍,让我们对未来充满信心.希望地火炬在传递,前进地阶梯在延伸…….国家“十一五”开局第一年,燃烧工程研究所就获得近万地国家三大科技计划经费支持,今年,预期仍将获得近万地国家三大科技计划经费.今天地发展源于年前地方向选择与抉择.为什么无论是动荡年代还是改革时期,秦老师总能选择并坚持正确地方向?是什么让他总能超越时代去思考?文档收集自网络,仅用于个人学习

谈起哈工大,很多人说哈工大地科研方向选择不一定“新奇”,但一定“重大”.凡是听过秦老师讲座,他提及最多地是国家和个人地关系,不单单是秦老师,那一代人都对国家都有着刻骨铭心地感情和认识.对那一代人,我曾经概括了这样一段话:他们地选择始终能够把国家需要和自身发展紧密结合.他们往往能够淡泊名利,潜心磨剑十年、二十年、甚至三十年,他们地课题总是以国家核心利益为考量,他们地研究方向和关注点总能与和国家命运息息相关,他们身上闪现着“大师”风范、大家风采,他们地事业生涯里,辉煌着祖国之光!文档收集自网络,仅用于个人学习

在这里,我选择一首歌曲作为这个报告地结尾,是国家“五个一”工程获奖歌曲.并配上视频,剪辑成短片.用以纪念中国共产党建党周年,感谢赋予哈工大精神丰富内涵地“第一代八百壮士”,感谢并铭记所有为哈工大呕心沥血地优秀共产党员.请欣赏……文档收集自网络,仅用于个人学习

数据库系统基础课后题

《数据库系统基础》课后练习题 数据库系统基础 课后练习题 哈尔滨工业大学计算机科学与技术学院

《数据库系统基础》课后练习题关系代数、关系元组演算、SQL语言 1.分别用关系代数、元组演算、SQL语句完成CAP数据库的查询。 CAP数据库有四个关系(表): Customers(cid, cname, city, discnt), 客户定义表,描述了客户的唯一标识 cid,客户名称cname,客户所在的城市city,以及该客户购买产品时所可能给予的折扣discnt Agents(aid, aname, city, percent), 代理商定义表,描述了代理商的唯一标识aid, 代理商名称aname, 代理商所在的城市city,以及该代理商销售产品时所可能给予的佣金/提成percent(以百分比形式表达) 哈尔滨工业大学计算机科学与技术学院

《数据库系统基础》课后练习题关系代数、关系元组演算、SQL语言 (1) 找出订单总价大于或者等于$1000的(ordno, pid)对 哈尔滨工业大学计算机科学与技术学院

《数据库系统基础》课后练习题关系代数、关系元组演算、SQL语言 (2) 找出所有价格在$0.50和$1.00之间的商品名字,包括边界价格 哈尔滨工业大学计算机科学与技术学院

《数据库系统基础》课后练习题关系代数、关系元组演算、SQL语言 (3) 找出订单价格低于$500的(ordno, cname)对,使用一次连接 哈尔滨工业大学计算机科学与技术学院

《数据库系统基础》课后练习题关系代数、关系元组演算、SQL语言 (4) 找出所有三月份接受的订单的(ordno, aname)对,使用一次连接 哈尔滨工业大学计算机科学与技术学院

(完整版)哈工大工程热力学习题答案——杨玉顺版

第二章 热力学第一定律 思 考 题 1. 热量和热力学能有什么区别?有什么联系? 答:热量和热力学能是有明显区别的两个概念:热量指的是热力系通过界面与外界进行的热能交换量,是与热力过程有关的过程量。热力系经历不同的过程与外界交换的热量是不同的;而热力学能指的是热力系内部大量微观粒子本身所具有的能量的总合,是与热力过程无关而与热力系所处的热力状态有关的状态量。简言之,热量是热能的传输量,热力学能是能量?的储存量。二者的联系可由热力学第一定律表达式 d d q u p v δ=+ 看出;热量的传输除了可能引起做功或者消耗功外还会引起热力学能的变化。 2. 如果将能量方程写为 d d q u p v δ=+ 或 d d q h v p δ=- 那么它们的适用范围如何? 答:二式均适用于任意工质组成的闭口系所进行的无摩擦的内部平衡过程。因为 u h pv =-,()du d h pv dh pdv vdp =-=-- 对闭口系将 du 代入第一式得 q dh pdv vdp pdv δ=--+ 即 q dh vdp δ=-。 3. 能量方程 δq u p v =+d d (变大) 与焓的微分式 ()d d d h u pv =+(变大) 很相像,为什么热量 q 不是状态参数,而焓 h 是状态参数? 答:尽管能量方程 q du pdv δ=+ 与焓的微分式 ()d d d h u pv =+(变大)似乎相象,但两者 的数学本质不同,前者不是全微分的形式,而后者是全微分的形式。是否状态参数的数学检验就是,看该参数的循环积分是否为零。对焓的微分式来说,其循环积分:()dh du d pv =+???蜒? 因为 0du =??,()0d pv =?? 所以 0dh =??, 因此焓是状态参数。 而对于能量方程来说,其循环积分: q du pdv δ=+???蜒?

结构动力学大作业

结构动力学作业 姓名: 学号:

目录 1.力插值法 (1) 1.1分段常数插值法 (1) 1.2分段线性插值法 (4) 2.加速度插值法 (7) 2.1常加速度法 (7) 2.2线加速度法 (9) 附录 (12) 分段常数插值法源程序 (12) 分段线性插值法源程序 (12) 常加速度法源程序 (13) 线加速度法源程序 (13)

1.力插值法 力插值法对结构的外荷载进行插值,分为分段常数插值法和分段线性插值法,这两种方法均适用于线性结构的动力反应计算。 1.1分段常数插值法 图1-1为一个单自由度无阻尼系统,结构的刚度为k ,质量为m ,位移为y (t ),施加的外力为P (t )。图1-2为矩形脉冲荷载的示意图,图中t d 表示作用的时间,P 0表示脉冲荷载的大小。 图1-1 单自由度无阻尼系统示意图 图1-2 矩形脉冲荷载示意图 对于一个满足静止初始条件的无阻尼单自由度体系来说,当施加一个t d 时间的矩形脉冲荷载,此时结构在t d 时间内的位移反应可以用杜哈梅积分得到: 0()sin ()2 (1cos )(1cos ) (0) t st st d P y t t d m t y t y t t T ωττω πω=-=-=-≤≤? (1-1) 如果结构本身有初始的位移和速度,那么叠加上结构自由振动的部分,结构的位移反应为: 02()cos sin (1cos ) (0 )st d y t y t y t t y t t T πωωω =+ +-≤≤ (1-2)

图1-3 分段常数插值法微段示意图 对于施加于结构任意大小的力,将其划分为Δt 的微段,每一段的荷载都为一个常数(每段相当于一个矩形的脉冲荷载),如图1-3所示,则将每一段的位移和速度写成增量的形式为: 1cos t sin t (1cos t)i i i i y P y y k ωωωω +=?+ ?+-? (1-3) i+1/sin t cos t sin t i i i y P y y k ωωωωω =-?+ ?+ ? (1-4) 程序流程图如下

哈工大数电大作业

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础 设计题目:血型与状态机 院系: 班级: 设计者: 学号: 哈尔滨工业大学

血型逻辑电路设计 一实验目的 1.掌握采用可编程逻辑器件实现数字电路与系统的方法。 2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。 3.学会设计血型能否输血的数字电路。 4.掌握Verilog HDL描述数字逻辑电路与系统的方法。 二设计要求 1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。 2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。三电路图 1.电路模块图(简化) 应用: 2.内部电路组成(简化)

四 编程 1.源程序 module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi); input M; input N; input P;

output E; output[3:0] F; output[3:0] G; output[7:0] OUT; output[3:0] CTL; reg E; reg[3:0] F; reg[3:0] G; reg[7:0] OUT; reg[7:0] OUT1; reg[7:0] OUT2; reg[7:0] OUT3; reg[7:0] OUT4; reg[3:0] CTL=4'b1110; output bi; reg bi; integer clk_cnt; reg clk_400Hz; always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000) begin clk_cnt <= 1'b0; clk_400Hz <= ~clk_400Hz; end else clk_cnt <= clk_cnt + 1'b1; //位控制 reg clk_1Hz; integer clk_1Hz_cnt; //1Hz发声信号 always @(posedge clk) if(clk_1Hz_cnt==32'd2*******-1) begin clk_1Hz_cnt <= 1'b0; clk_1Hz <= ~clk_1Hz; end else clk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz) CTL <= {CTL[2:0],CTL[3]}; //段控制 always @(CTL) case(CTL) 4'b0111: OUT=OUT1; 4'b1011:

哈工大工程热力学习题

第3章 热力学第一定律 本章基本要求 深刻理解热量、储存能、功的概念,深刻理解内能、焓的物理意义 理解膨胀(压缩)功、轴功、技术功、流动功的联系与区别 本章重点 熟练应用热力学第一定律解决具体问题 热力学第一定律的实质: 能量守恒与转换定律在热力学中的应用 收入-支出=系统储能的变化 = +sur sys E E 常数 对孤立系统:0=?isol E 或 0=?+?sur sys E E 第一类永动机:不消耗任何能量而能连续不断作功的循环发动机。 3.1系统的储存能 系统的储存能的构成:内部储存能+外部储存能 一.内能 热力系处于宏观静止状态时系统内所有微观粒子所具有的能量之和,单位质量工质所具有的内能,称为比内能,简称内能。U=mu 内能=分子动能+分子位能 分子动能包括: 1.分子的移动动能 2。分子的转动动能. 3.分子内部原子振动动能和位能 分子位能:克服分子间的作用力所形成 u=f (T,V) 或u=f (T,P) u=f (P,V)

注意: 内能是状态参数. 特别的: 对理想气体u=f (T) 问题思考: 为什么? 外储存能:系统工质与外力场的相互作用(如重力位能)及以外界为参考坐标的系统宏观运动所具有的能量(宏观动能)。 宏观动能:2 2 1mc E k = 重力位能:mgz E p = 式中 g —重力加速度。 系统总储存能:p k E E U E ++= 或mgz mc U E ++ =2 2 1 gz c u e ++ =2 21 3.2 系统与外界传递的能量 与外界热源,功源,质源之间进行的能量传递 一、热量 在温差作用下,系统与外界通过界面传递的能量。 规定: 系统吸热热量为正,系统放热热量为负。 单位:kJ kcal l kcal=4.1868kJ 特点: 热量是传递过程中能量的一种形式,热量与热力过程有关,或与过程的路径有关. 二、功 除温差以外的其它不平衡势差所引起的系统与外界传递的能量. 1.膨胀功W :在力差作用下,通过系统容积变化与外界传递的能量。 单位:l J=l Nm 规定: 系统对外作功为正,外界对系统作功为负。

HIT软件学院数据库实验1

哈尔滨工业大学 <<数据库系统>> 实验报告之一 (2014年度春季学期)

实验一交互式SQL语言 一、实验目的 ●掌握SQL语句的语法 ●着重熟悉掌握利用SQL编写Select查询的方法 ●熟悉SQLite的用法 二、实验内容 ●1) 双击打开sqlite3.exe,该程序为SQLite数据库管理系统 ●2) 利用.help查看SQLite支持的控制台系统命令。注意系统命令结尾处 没有结束符“;”

●3) 阅读.help中对.databases 命令的说明,并查看输出结果 ●4) 阅读.help中对.open命令的说明,并使用该命令创建一个数据库(名 字任意)后缀名统一为“.db3”(可以没有后缀名,但不推荐) ●5) 再次运行.databases 命令,与步骤3的输出结果对比 ●6) 阅读.help中对.tables命令的说明,并使用该命令查看当前数据库的所 有表 ●7) 创建满足要求的关系表(使用create table) ●表一 ●表名:College(存储大学的信息) ●属性:cName(字符串存储的大学名字),state(字符串格式的大学所在

州),enrollment(整数形式的大学入学学费) ●表二 ●表名:Student(存储学生的信息) ●属性:sID(整数形式的学号),sName(字符串形式的学生名字),GPA (小数形式的成绩),sizeHS(整数形式的所在高中规模) ●表三 ●表名:Apply(存储学生申请学校的信息) ●属性:sID(整数形式的学号),cName(字符串形式的大学名字),major (字符串形式的专业名字),decision(字符串形式的申请结果) ●8)利用.tables查看当前数据库中的表,对比步骤6中的运行结果 ●9) 利用如下命令,将存储在txt文件中的元组导入数据库的关系中●.separator "," ●.import dbcollege.txt College ●.import dbstudent.txt Student ●.import dbapply.txt Apply

哈工大数电大作业——学号后三位为模的计数器

数字电子技术应用Verilog HDL设计计数器 学院:航天学院 班级: 学号: 姓名: 教师:

设计要求:利用Verilog HDL设计一个以自己学号后三位为模的计数器。 设计步骤:首先我的学号后三位为114,因此计数器范围是0到113一共114个数。然后根据此要求编写功能程序以及激励源的相关程序,第三步在modelsim下进行实验调试,看所编程序能否实现预期功能,然后再把相关实验数据截图记录。 程序代码: modulejishuqi(out,reset,clk); output [7:0] out; inputreset,clk; reg [7:0] out; always @(posedgeclk) begin if(!reset)out<=8'h00; else if(out>=113)out=8'h00; else out<=out+1; end endmodule 激励源设置程序: `timescale 1 ns/ 1 ps modulejishuqi_test(); regclk; reg reset; wire [7:0] out; jishuqi i1 ( .clk(clk), .out(out), .reset(reset) ); initial begin #1 clk=0; #10 reset=0; #40 reset=1; end always #20 clk=~clk ; endmodule Modelsim仿真波形图:

注二进制数01110001化成十进制数为113,因此得到了正确的波形图。RTL Viewer Technology Map Viewer

哈工大工程热力学习题

一.是非题 (10分) 1.系统的平衡状态是指系统在无外界影响的条件下,不考虑外力场作用,宏观热力性质 不随时间而变化的状态。( ) 2.不管过程是否可逆,开口绝热稳流系统的技术功总是等于初、终态的焓差。 ( ) 3.工质经历一可逆循环,其∮d s =0,而工质经历一不可逆循环,其∮d s >0。( ) 4.理想气体在绝热容器中作自由膨胀,则气体温度与压力的表达式为 k k p p T T 1 1212-???? ??= ( ) 5.对一渐放型喷管,当进口流速为超音速时,可做扩压管使用。 ( ) 6.对于过热水蒸气,干度1>x ( ) 7.在研究热力系统能量平衡时,存在下列关系式:sur sys E E += 恒量, △S s y s +△S s u r = 恒量。( ) 8.对于渐缩喷管,若气流的初参数一定,那么随着背压的降低,流量将增大,但最多 增大到临界流量。( ) 9.膨胀功、流动功和技术功都是与过程路径有关的过程量 ( ) 10.在管道内定熵流动过程中,各点的滞止参数都相同。( ) 二.选择题 (10分) 1.湿蒸汽经定温膨胀过程后其内能变化_________ (A )△U = 0 (B )△U >0 (C )△U <0 (D )△U <0或△U >0 2.压气机压缩气体所耗理论轴功为_________ (A ) pdv 12? (B )d pv ()12? (C )pdu 1 2 ?+p 1v 1-p 2v 2 3.多级(共Z 级)压气机压力比的分配原则应是_________ (A )βi = (P Z+1 +P 1)/ Z (B )βi = (P Z+1 / P 1)1 / Z (C )βi = P Z+1/P 1 (D )βi =(P Z+1 / P 1)/ Z 4. 工质熵减少的过程_________ (A ) 不能进行 (B ) 可以进行 (C ) 必须伴随自发过程才能进行

哈工大工程热力学习题答案——杨玉顺版

第二章 热力学第一定律 思 考 题 1. 热量和热力学能有什么区别?有什么联系? 答:热量和热力学能是有明显区别的两个概念:热量指的是热力系通过界面与外界进行的热能交换量,是与热力过程有关的过程量。热力系经历不同的过程与外界交换的热量是不同的;而热力学能指的是热力系内部大量微观粒子本身所具有的能量的总合,是与热力过程无关而与热力系所处的热力状态有关的状态量。简言之,热量是热能的传输量,热力学能是能量?的储存量。二者的联系可由热力学第一定律表达式 d d q u p v δ=+ 看出;热量的传输除了可能引起做功或者消耗功外还会引起热力学能的变化。 2. 如果将能量方程写为 或 d d q h v p δ=- 那么它们的适用范围如何? 答:二式均适用于任意工质组成的闭口系所进行的无摩擦的内部平衡过程。因为 u h pv =-,()du d h pv dh pdv vdp =-=-- 对闭口系将 du 代入第一式得 q dh pdv vdp pdv δ=--+ 即 q dh vdp δ=-。 3. 能量方程 δq u p v =+d d (变大) 与焓的微分式 ()d d d h u pv =+(变大) 很相像,为什么热量 q 不是状态参数,而焓 h 是状态参数? 答:尽管能量方程 q du pdv δ=+ 与焓的微分式 ()d d d h u pv =+(变大)似乎相象,但两者的数学本质不同,前者不是全微分的形式,而后者是全微分的形式。是否状态参数的数学检验就是,看该参数的循环积分是否为零。对焓的微分式来说,其循环积分:()dh du d pv =+??? 因为 0du =?,()0d pv =? 所以 0dh =?, 因此焓是状态参数。 而对于能量方程来说,其循环积分: 虽然: 0du =? 但是: 0pdv ≠? 所以: 0q δ≠? 因此热量q 不是状态参数。 4. 用隔板将绝热刚性容器分成A 、B 两部分(图2-13),A 部分装有1 kg 气体,B 部分为高度真空。将隔板抽去后,气体热力学能是否会发生变化?能不能用 d d q u p v δ=+ 来分析这一过程?

结构动力学哈工大版课后习题集解答

第一章 单自由度系统 1.1 总结求单自由度系统固有频率的方法和步骤。 单自由度系统固有频率求法有:牛顿第二定律法、动量距定理法、拉格朗日方程法和能量守恒定理法。 1、 牛顿第二定律法 适用围:所有的单自由度系统的振动。 解题步骤:(1) 对系统进行受力分析,得到系统所受的合力; (2) 利用牛顿第二定律∑=F x m ,得到系统的运动微分方程; (3) 求解该方程所对应的特征方程的特征根,得到该系统的固有频率。 2、 动量距定理法 适用围:绕定轴转动的单自由度系统的振动。 解题步骤:(1) 对系统进行受力分析和动量距分析; (2) 利用动量距定理J ∑=M θ ,得到系统的运动微分方程; (3) 求解该方程所对应的特征方程的特征根,得到该系统的固有频率。 3、 拉格朗日方程法: 适用围:所有的单自由度系统的振动。 解题步骤:(1)设系统的广义坐标为θ,写出系统对于坐标θ的动能T 和势能U 的表达式;进一步写求出拉格朗日函数的表达式:L=T-U ; (2)由格朗日方程 θ θ??-???L L dt )( =0,得到系统的运动微分方程; (3) 求解该方程所对应的特征方程的特征根,得到该系统的固有频率。 4、 能量守恒定理法 适用围:所有无阻尼的单自由度保守系统的振动。

解题步骤:(1)对系统进行运动分析、选广义坐标、写出在该坐标下系统的动能T 和势能U 的表达式;进一步写出机械能守恒定理的表达式 T+U=Const (2)将能量守恒定理T+U=Const 对时间求导得零,即0) (=+dt U T d ,进一步得到系统的运动微分方程; (3) 求解该方程所对应的特征方程的特征根,得到该系统的固有频率。 1.2 叙述用衰减法求单自由度系统阻尼比的方法和步骤。 用衰减法求单自由度系统阻尼比的方法有两个:衰减曲线法和共振法。 方法一:衰减曲线法。 求解步骤:(1)利用试验测得单自由度系统的衰减振动曲线,并测得周期和相邻波峰和波谷的幅值i A 、1+i A 。 (2)由对数衰减率定义 )ln( 1 +=i i A A δ, 进一步推导有 2 12ζ πζδ-= , 因为ζ较小, 所以有 π δζ2= 。 方法二:共振法求单自由度系统的阻尼比。 (1)通过实验,绘出系统的幅频曲线, 如下图:

数电大作业

数电作业 课程名称:数字电子技术基础课程时间:2015年秋 授课教师:康磊 学生姓名:XXX 学生班级: 学生学号:

联系电话: 哈尔滨工业大学英才学院 2015年12月 大作业一 一、设计目的

利用Verilog HDL设计一个电路,使其可以检测输入的一段由二进制数组成的序列,若序列中有连续的三个或者三个以上的1,则电路下一个时钟到来时输出为1,否则为0。状态转换图如图所示。 二、设计步骤 1、安装ISE14.2,并学会如何仿真。 2、根据状态图编写verilog程序。 3、仿真并生成仿真波形图。 4、保存项目并完成报告。 三、程序源代码 1、主程序 `timescale 1ns / 1ps module shudian1(clk,rst,din,out); input clk,rst,din; output out; reg[2:1] y, Y; reg out; parameter A=2'b00,B=2'b01,C=2'b10,D=2'b11; always @(posedge clk or negedge rst) begin if(!rst)y <= A;

elsey <= Y; end always@(y or din) begin case(y) A: begin out = 0; if(din) Y=B; else Y=A; end B: begin out = 0; if(din) Y=C; else Y=A; end C: begin out = 0; if(din) Y=D; else Y=A; end D: begin out = 1; if(din) Y=D; else Y=A; end default:begin out = 0; Y = A; end endcase end endmodule 2、测试程序 `timescale 1ns / 1ps module sudian11; // Inputs reg clk; reg rst; reg [20:0]data; assign din=data[20]; // Outputs wire out;

结构动力学

结构动力学试题 2016年4月 重庆交通大学结构工程硕士研究生考试 1.试述结构动力问题和静力问题的主要区别(10分) 答:结构静力学相比,动力学的复杂性表现在: (1)动力问题具有随时间而变化的性质; (2)数学解答不是单一的数值,而是时间的函数; (3)惯性力是结构内部弹性力所平衡的全部荷载的一个重要部分; (4)引入惯性力后涉及到二阶微分方程的求解; (5)需考虑结构本身的动力特性:刚度分布、质量分布、阻尼特性分布的影响。 2.什么是结构动力系统的阻尼?一般结构系统的阻尼有何特性?在结构分析中 阻尼问题的处理方法有哪些?(20分) 答:(1)结构在震动过程中的能量耗散作用称为阻尼; (2)阻尼的特性:a、阻尼耗能与质量(反映附属部分大小)和刚度(反映位移大小)有关。b、难以采用精确的理论分析方法; (3)对于多自由度体系:在结构动力分析中,通常从系统响应这个角度来考虑阻尼,而且能量的损耗是由外界激励来平衡的。一个振动系统可能存在多种不同类型的阻尼,一般来说,要用数学的方法来精确描述阻尼目前是比较困难的。因此,人们根据经验提出了一些简化模型,常用的阻尼模型有黏性阻尼和结构阻尼。黏性阻尼系统:黏性阻尼的特点是阻尼力和运动速度成真封闭。 在用振型叠加法进行分析时,能否将联立的运动方程化为解耦的一系列单自由度运动方程,将取决于阻尼矩阵的性质,即结构的振型是否关于阻尼阵满足正交条件。如果满足阻尼阵的正交条件,则采用振型叠加法分析时,就可以把多自由度体系的动力反应问题化为一系列单自由度问题求解;如果不满足阻尼阵的正交条件,则对位移向量用振型展开后,关于振型坐标的运动方程成为耦联的,必须联立求解,与解耦方程相比,增加了难度和计算量。 3.试述多自由度体系振型矩阵关于质量矩阵和刚度矩阵的正交性的意义,并写出广义正交性的表达式且加以证明。(20分) 答:(1)由振型关于质量、刚度正交性公式可知,i振型上的惯性力在j振型上作的虚功为0。由此可知,既然每一主振型相应的惯性力在其他主振型上不做功,那么它的振动能量就不会转移到别的主振型上去。换句话说,当一个体系只按某一主振型振动时,不会激起其他主振型的振动。这说明各个主振型都能单独出现,彼此线性无关。这就是振型正交的物理意义。一是可用于校核振型的正确性;二是在已知振型的条件下,可以通过折算质量与折算刚度计算对应的频率。而更主要的是任一同阶向量均可用振型的线性组合来表示,在受迫振动分析中,利用振型的正交性,在阻尼矩阵正交的假设下可使运动方程解藕. (2)振型正交性的证明在Clough书中应用的是Betti互易定理,就像D’Alember 原理一样考虑了惯性力,是运动学中功的互等定理。实际振型正交性的证明可

数电大作业

子技术》大作业 电子技术基础是一门实践性很强的课程。数字电路大作业是在学完本门课程后,对所学知识的综合性考察。大作业分成两种形式:理论设计和实物制作,大家可以自由选择,要求附后。希望同学们借助教材、参考书以及互联网等,充分发挥想象力和创造力,认真完成本次大作业。 【理论设计要求】 1.每3人一组,完成其中一个题目。每人写出一份设计报告,而每组只提交一份报告,网上提交,完成时间截至第18周,逾期系统自动关闭。 2.鼓励有条件的同学应用Multisim或Orcad/Pspice等软件对所设计电路进行仿真。 3.不能使用单片机实现。 4.将对设计报告进行评定打分并作为平时成绩计入期末总成绩。 【实物制作要求】 1.制作出一个实用的电子电路,具体不限,能成功演示其功能。 2.一人或者两人一题。 3.写出简单的设计说明,并于网上提交。 4.将对实物制作情况评定打分并作为平时成绩计入期末总成绩。 【报告内容】 1. 目录 2. 设计目的及要求

工作原理、系统方框图 4. 各部分选定方案及电路组成、相关器件说明 5. 调试过程(如果没做实物,可免) 6. 设计结论 7 设计心得与总结(要具体落实到小组各成员) 8. 参考文献 9. 附录 附录一:元器件清单 附录二:总体设计图 附录三:仿真结果(可无) 附录四:小组各成员所做工作说明(设计者排序),每个成员对最终方案的贡献(哪个地方是谁设计的) 【理论设计题目】 NO.1 设计一个输血—受血判别电路,当输血者和受血者的血型符合相关规则,配型成功,受血者可以接受输血者提供的血液,用LED指示配型成功与否。 NO.2 循环彩灯电路

哈工大结构动力学作业_威尔逊_θ法

结构动力学大作业(威尔逊- 法) : 学号: 班级: 专业:

威尔逊-θ法原理及应用 【摘要】在求解单自由度体系振动方程时我们用了常加速度法及线加速度法等数值分析方法。在多自由度体系中,也有类似求解方法,即中心差分法及威尔逊-θ法。实际上后两种方法也能求解单自由度体系振动方程。对于数值方法,有三个重要要求:收敛性、稳定性及精度。本文推导了威尔逊-θ法的公式,并利用MATLAB 编程来研究单自由度体系的动力特性。 【关键词】威尔逊-θ法 冲击荷载 阻尼比 【正文】威尔逊-θ法可以很方便的求解任意荷载作用下单自由度体系振动问题。实际上,当 1.37θ>时,威尔逊-θ法是无条件收敛的。 一、威尔逊-θ法的原理 威尔逊-θ法是线性加速度法的一种拓展(当1θ=时,两者相同),其基本思路和实现方法是求出在时间段[],t t t θ+?时刻的运动,其中1θ≥,然后通过插得到i t t +?时刻的运动(见图 1.1)。 图 1.1 1、公式推导 推导由t 时刻的状态求t t θ+?时刻的状态的递推公式: 对τ积分

{}{}{}{}{}{})(623 2 t t t t t t t y y t y y y y &&&&&&&-?+++=?++θτ θτττ {}{}{}{}{})2(6)(2t t t t t t t y y t y t y y &&&&&+?+?+=?+?+θθθθ {}{}{}{}{}t t t t t t t y y t y y t y &&&&&26 )()(62-?--?=?+?+θθθθ []{}{} {}[]{}{}{}[]{}{}{})223()26)(6( )(2t t t t t t t t t t y t y y t c y y t y t m P P P R &&&&&&?++?++?+?+-+=?+θθθθθ 2、MA TLAB 源程序: clc;clear; K=input('请输入结构刚度k(N/m)'); M=input('请输入质量(kg)'); C=input('请输入阻尼(N*s/m)'); t=sym('t');%产生符号对象t Pt=input('请输入荷载); Tp=input('请输入荷载加载时长(s)'); Tu=input('请输入需要计算的时间长度(s) '); dt=input('请输入积分步长(s)'); Sita=input('请输入θ'); uds=0:dt:Tu;%确定各积分步时刻 pds=0:dt:Tp; Lu=length(uds); Lp=length(pds); if isa(Pt,'sym')%荷载为函数 P=subs(Pt,t,uds); %将荷载在各时间步离散 if Lu>Lp P(Lp+1:Lu)=0; end elseif isnumeric(Pt)%荷载为散点 if Lu<=Lp

哈工大工程热力学教案-第8章 湿 空 气

第8章 湿 空 气 本章基本要求 理解绝对湿度、相对湿度、含湿量、饱和度、湿空气密度、干球温度、湿球温度、露点温度和角系数等概念的定义式及物理意义。 熟练使用湿空气的焓湿图。 掌握湿空气的基本热力过程的计算和分析。 8.1 湿空气性质 一、湿空气成分及压力 湿空气=干空气+水蒸汽 v a p p p B +== 二、饱和空气与未饱和空气 未饱和空气=干空气+过热水蒸汽 饱和空气=干空气+饱和水蒸汽 注意:由未饱和空气到饱和空气的途径: 1.等压降温 2.等温加压 露点温度:维持水蒸汽含量不变,冷却使未饱和湿空气的温度降至水蒸汽的饱和状态,所对应的温度。 三、湿空气的分子量及气体常数 B p M r M r M v v v a a 95.1097.28-=+= B p R v 378.01287 -=

结论:湿空气的气体常数随水蒸汽分压力的提高而增大 四、绝对湿度和相对湿度 绝对湿度:每立方米湿空气终所含水蒸汽的质量。 相对湿度:湿空气的绝对湿度与同温度下饱和空气的饱和绝对湿度的比值, s v ρρφ= 相对湿度反映湿空气中水蒸气含量接近饱和的程度。 思考:在某温度t 下,φ值小,表示空气如何,吸湿能力如何; φ 值大,示空气如何,吸湿能力如何。 相对湿度的范围:0<φ<1。 应用理想气体状态方程 ,相对湿度又可表示为 s v p p = φ 五、含温量(比湿度) 由于湿空气中只有干空气的质量,不会随湿空气的温度和湿度而改变。定义: 含湿量(或称比湿度):在含有1kg 干空气的湿空气中,所混有的水蒸气质量称为湿空气的)。 V v P B p d -=622 g/kg(a) 六、焓 定义:1kg 干空气的焓和0.001dkg 水蒸汽的焓的总和 v a dh h h 001.0+= 代入:)85.12501(001.001.1t d t h ++= g/kg(a) 七、湿球温度

哈工大数电大作业-作业1-计数器

哈工大数电大作业-作业1-计数器 数电大作业 1 计数器 一、实验目的 1.学习使用Verilog HDL语言,并学会使用进行Quartus H软件编 程和仿真; 2.掌握数字电路的设计方法,熟悉设计过程及其步骤; 3.培养学生的动手能力,能学以致用,为今后从事电子线路设计 打下良好基础; 4.巩固加深对数电知识的理解,在仿真调试过程中,能结合原理 来分析实验现象; 二、实验内容 1.设计内容及要求 1)利用 Verilog HDL 设计一个以自己学号后三位为模的计数器; 2)编写源程序;

3)给出仿真电路图和仿真波形图; 2.需求分析: 由于本人的学号为 7112130501,后 3 位为 501,为便于观察,选取中间三位为进制来编写加法计数器,以保证与他人的区别性,即编一个以 213 为模的加法计数器。若采用同步清零的方法,则计数为 0~212,化为二进制数即为 0 0000 0000计到 0 1101 0100。

3. 编写源代码: module count_213(out, data, load, reset, elk); output [8:0] out; i 叩ut [8:0] data; input load ,reset, elk; reg [8:0] out; always ?(posedge elk) begin 辻(!reset)out=9, hOOO; else if (load)out=data; else if (out>=212)out=9, hOOO; else out=out+1; end endmodule 程序说明: 该计数器为一个9位计数器,计数范围0~212,具有同步同 步置数和同步清零功能。时钟的上升沿有效,当elk 信号的上升 沿到来时,如果清零信号为0,则清零;若不为0,计数器进行计 数,计至212处同步清零。 4. 画出仿真电路图: 图1为同步置数、同步清零加法计数器的仿真电路图 //elk 上升沿触发 〃同步清零,低电平有效 //同步预置 〃计数最大值为212,超过清零 〃计数

哈工大工程热力学教案

绪论 (2学时) 一、基本知识点 基本要求 理解和掌握工程热力学的研究对象、主要研究内容和研究方法 ·理解热能利用的两种主要方式及其特点 ·了解常用的热能动力转换装置的工作过程 1.什么是工程热力学 从工程技术观点出发,研究物质的热力学性质,热能转换为机械能的规律和方法,以及有效、合理地利用热能的途径。 电能一一机械能 锅炉一一烟气一一水一一水蒸气一一(直接利用) 供热 锅炉一一烟气一一水一一水蒸气一一汽轮机一一 (间接利用)发电 冰箱一一-(耗能) 制冷 2.能源的地位与作用及我国能源面临的主要问题 3. 热能及其利用 (1).热能:能量的一种形式 (2).来源:一次能源:以自然形式存在,可利用的能源。

如风能,水力能,太阳能、地热能、化学能和核能等。 二次能源:由一次能源转换而来的能源,如机械能、机械能等。 (3).利用形式: 直接利用:将热能利用来直接加热物体。如烘干、采暖、熔炼(能源消耗比例大) 间接利用:各种热能动力装置,将热能转换成机械能或者再转换成电能, 4..热能动力转换装置的工作过程 5.热能利用的方向性及能量的两种属性 过程的方向性:如:由高温传向低温 能量属性:数量属性、,质量属性 (即做功能力) 注意: 数量守衡、质量不守衡 提高热能利用率:能源消耗量与国民生产总值成正比。 6.本课程的研究对象及主要内容 研究对象:与热现象有关的能量利用与转换规律的科学。 研究内容: (1).研究能量转换的客观规律,即热力学第一与第二定律。

(2).研究工质的基本热力性质。 (3).研究各种热工设备中的工作过程。 (4).研究与热工设备工作过程直接有关的一些化学和物理化学问题。 7..热力学的研究方法与主要特点 (1)宏观方法:唯现象、总结规律,称经典热力学。 优点:简单、明确、可靠、普遍。 缺点:不能解决热现象的本质。 (2)微观方法:从物质的微观结构与微观运动出发,统计的方法总结规律,称统计热力学。 优点:可解决热现象的本质。缺点:复杂,不直观。 主要特点:三多一广,内容多、概念多、公式多。 联系工程实际面广。条理清楚,推理严格。 二、重点、难点 重点:热能利用的方向性及能量的两种属性 难点:使学生认识到学习本课程的重要性,激发学生的学习兴趣和学习积极性,教会学生掌握专业基础课的学习方法。 四、德育点

(完整版)哈尔滨工业大学数据库试题(含答案)

试卷一(哈尔滨工业大学) 一、选择题(每题1分,共20分) 1.在数据管理技术的发展过程中,数据独立性最高的是()阶段。 A. 数据库系统 B. 文件系统 C. 人工管理 D. 数据项管理 2. ()是存储在计算机内的有结构的数据集合。 A. 网络系统 B. 数据库系统 C. 操作系统 D. 数据库 3. 在数据库的三级模式结构中,描述数据库中全体数据的全局逻辑结构和特征的是()。 A. 外模式 B. 内模式 C. 存储模式 D. 模式 4. 作为关系数据系统,最小应具备的关系运算是()。 A. 排序、索引、统计 B. 选择、投影、连接 C. 关联、更新、排序 D. 显示、打印、制表 5. 在select语句中使用group by Sno时,Sno 必须出现在()子句中。 A. where B. from C. select D. having 6. 在where语句的条件表达式中,与零个或多个字符匹配的通配符是()。 A. * B. ? C. % D. _ 7. 对关系模式进行分解时,要求保持函数依赖,最高可以达到()。 A. 2NF B. 3NF C. BCNF D. 4NF 8. 在关系模式R(U,F)中,Y∈XF+是X→Y是否成立的()。 A. 充分必要条件 B. 必要条件 C. 充分条件 D. 既不充分也不必要条件 9. 在关系数据库设计阶段中,完成关系模式设计的阶段是()。 A. 需求分析阶段 B. 概念设计阶段 C. 逻辑设计阶段 D. 物理设计阶段 10. 基本E-R图就是数据库的()。 A. 外模式 B. 逻辑模式 C. 内模式 D. 概念模式 11. 从数据流图构造E-R图时,选择实体一般应先考虑数据流图中的()。 A. 数据项 B. 数据流 C. 数据处理 D. 数据存储 12. 以下()不是当前常用的存取方法。 A. 索引方法 B. 聚簇方法 C. HASH方法 D. 链表方法 13. 事务一旦提交,对数据库的改变是永久的,这是事务的()。 A. 原子性 B. 一致性 C. 隔离性 D. 持久性 14. 并发控制要解决的根本问题是保持数据库状态的()。 A. 安全性 B. 完整性 C. 可靠性 D. 一致性 15. 在数据库系统中,对存取权限的定义称为()。 A. 授权 B. 定义 C. 约束 D. 审计 16. 视图建立后,在数据字典中存放的是()。 A. 查询语句 B. 视图的定义 C. 组成视图的表内容 D. 产生视图的表定义 17. 由全码组成的关系模式,最高可以达到的模式为()。 A. 4NF B. 2NF C. 3NF D. BCNF 18. 下列叙述中,正确的是()。 A. 对于关系数据模型,规范化程度越高越好 B. 如果F是最小函数依赖集,则R∈2NF C. 如果R∈BCNF,则F是最小函数依赖集

数电大作业智能数字钟

数电大作业智能数字钟 IMB standardization office【IMB 5AB- IMBK 08- IMB 2C】

智能数字钟设计? 一、问题重述? 数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表,大到车站﹑码头﹑机场等公共场所的大型数显电子钟。 本课程设计要用通过简单的逻辑芯片实现数字电子钟。要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用74LS160(10进制计数器)74LS00(与非门芯片)等连接成60和24进制的计数器,再通过七段数码管显示,构成了简单数字电子钟。要求: (1)完成设计一个有“时”,“分”,“秒”(23小时59分59秒)显示且有校时功能的电子钟; (2)完成对“时”、“分”的自动校时。 二、设计目的? 1.了解智能数字钟的工作原理; 2.设计出一个能实现清零、进位、显示时分秒等功能的智能数字钟; 3.正确使用multisim 软件对电路进行仿真及观察; 4.通过此次设计实验加深对3—8译码器、计数器等集成逻辑芯片的理解和运用。 三、设计要求? 1.用555定时器设计一个秒钟脉冲发生器,输入1HZ的时钟;(对已有1kHz频率时钟脉冲进行分频); 2.能显示时、分、秒,24小时制;3.设计晶体震荡电路来输入时钟脉冲; 4.用同步十进制集成计数器74LS160设计一个分秒钟计数器,即六十进制计数器;

5.用同步十进制集成计数器74LS160设计一个24小时计数器;6.译码显示电路显示时间; 7.用与非门芯片及一些基本芯片设计一个可以自动校时的电路。 四、设计过程? 总体思路 由秒及分的60进制,分别到59时进行对分和时进行进位,而时为24进制,当到达23时,之后进行清零,从而实现数字时钟的相应功能。分秒功能的实现:用两片74LS160组成60秒、分、时分别为60、60和24进制计数器。秒、分均为六十进制,即显示进制递增计数器。时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了。时功能的实现:用两片74LS160组成24进制递增计数器。结构框图及说明 在产生信号时可采用两种方法,方法(1)采用555定时器及分频器,而方法(2)直接利用函数信号发生器。 电路工作原理? 振荡器是数字钟的核心。振荡器的稳定度及频率的准确度决定了数字钟计时的准确程度,通常选用石英晶体构成的振荡器电路。一般来说,振荡器的频率越高,计时精度越高。如图5所示调节电阻R2可以改变输出信号频率,用以得到所需的信号频率。利用555定时器进行产生信号,形成晶振电路,如下 图二?555定时器 用三片74LS160可以构成三级十分频器,将1KHz矩形波分频得到1Hz基准秒计时信号。它的功能是产生标准秒脉冲信号。原理如下 图三?分频电路

相关主题
文本预览
相关文档 最新文档