当前位置:文档之家› fir滤波器总结

fir滤波器总结

fir滤波器总结
fir滤波器总结

FPGA设计有4种常用的设计思想与技巧:乒乓操作、串并转换、数据接口同步、流水线操作。

1个6阶FIR滤波器由移位寄存器单元、输入模块、查找表单元、流水加法器阵列和锁存模块组成。

Booth算法。

FIR和IIR的优缺点比较:与IIR 滤波器相比,FIR 滤波器的优点为:可以设计出具有线性相位的滤波器,从而保证信号在传输过程中不会产生失真;由于FIR 滤波器没有递归运算,所以不论在理论上或实际应用中,有限字长效应带来的运算误差都不会导致系统不稳定;只要经过一定的延时,任何非因果有限长序列都能变成因果的有限长序列,因而能用因果系统来实现;FIR 滤波器由于单位脉冲响应是有限长的,因而可用快速傅里叶变换FFT 算法来实现过滤信号,可大大提高运算效率。同样FIR 滤波器也存在其缺点:虽然可以采用加窗方法或频率取样等简单方法设计FIR 滤波器,但往往在过渡带和阻带衰减上难以满足要求,因此不得不采用多次迭代或采用计算机辅助设计,从而使设计过程变得复杂;在相同的频率特性情况下,FIR 滤波器阶次比较高,所需的存储单元多,从而提高了硬件设计成本。从以上简单比较可以看出,IIR 和FIR 滤波器各有优缺点,因此在应用时应根据技术要求及所处理信号的特点予以选择。图像处理以及数据传输等领域都要求信道具有线性相位特性,由于FIR 滤波器具有稳定性、因果性、线性相位等特点,因此在这些领域得到了广泛的应用。

超前进位加法器。

华莱士加法树。

硬件乘法器的设计。

数据吞吐率。

(1)在查阅大量中英文文献的基础上,详细分析了FIR数字滤波器的原理和

设计方法,研究了实现FIR数字滤波器的网络结构。

(2)通过对加法器和乘法器的深入研究,将Booth算法应用于乘法器的硬件

电路设计,设计了一个16×16补码乘法器的硬件电路,其时钟频率达到30 MHz以上,该乘法器可作为基本运算单元用于各种数字信号处理系统中。在此基础上设计了一个33阶的常系数低通FIR数字滤波器电路,通过改变滤波器的系数输入,可实现各种类型的FIR数字滤波器。

(3)用VHDL语言描述了一些硬件模块,通过编译仿真,这些模块达到了与原理图输入相同的功能。

(4)由于设计中将超前进位加法器放在了FIR运算的最后一步,所以超前进位加法器的延迟不再影响乘加运算的速度,在设计乘加运算时,可以通过增加流水线级数来提高乘加运算的速度。考虑到累加过程中用到了2级CSA,即4个门延迟单位,所以乘加运算速度应该能够提高到50 MHZ以上。然而,由于时间仓促,还有一些问题有待于进一步去研究:

(1)增加流水线级数能够多大程度的提高FIR滤波器的运行速度,对于系统的复杂性有多大的影响是值得考虑的问题。

(2)本设计考虑到资源问题选择了串行结构的实现方法,随着FPGA/CPLD

规模的增大,如何将FIR数字滤波器设计成多个乘法器高速并行运算的结构是一个值得进一步研究的问题。

其次对FIR滤波器的常用设计方法进行了分析说明。对滤波器进行设计,实际上就是在不同意义上对理想频率特性进行逼近。根据数值逼近方式的不同可以把设计方法分为窗函数设计法、频率采样法、等波纹逼近法等。

接着对基于FPGA的FIR滤波器实现方法进行介绍,并选用分布式算法为滤

波器的硬件实现方法。针对分布式算法中查找表规模较大的缺点,本文利用FIR线性相位滤波器的对称性,通过对查找表的分割对分布式算法进行改进,可以使查找表的规模得到极大的减小。

分布式算法导致查找表的规模增大,可以将查找表拆分然后再并行处理。

格型结构。

数字信号处理就是在有限区间使用所观测到的信号序列进行各种各样的处理。截取持续信号中部分信号的工作,可以看作是通过一个窗口釆集所看到的信号序列,这种为截取信号所使用的窗口称为窗函数。窗函数法是设计FIR滤波器的最简单方法,也称傅里叶级数法或窗口法["]。它的关键是从时域出发,用窗函数对理想滤波器冲激响应序列进行截取,以寻求适当的冲激响应序列逼近理想滤波器的冲激响应,从而实现所设计的滤波器的频率响应力在频域上逼近理想滤波器的频率响应//义6^)的目的。

戴明祯.数字信号处理的硬件实现(第一版).北京:航空工业出版社,1998,1-50.

孙友军.基于FPGA的HR数字滤波器算法研究与设计实现.冶金自动化研究设计院.2010.

[3]王大伦,王志新,王康编著.数字信号处理:理论与实践[M].北京:清华大学出版社,2010.2,35-40

[4]刘朋全.基于FPGA的FIR数字滤波器的设计和实现[D]?西北工业大学,2006.4.

LTI 数字滤波器通常分为有限脉冲响应(Finite Impulse Response,FIR)和

无限脉冲响应(Infinite Impulse Response,IIR)两大类。FIR 滤波器由有限个

采样值组成,将上述卷积的数量降低到每个采样时刻为有限个。而IIR 滤波器需要执行无限数量次卷积。FIR 滤波器相对于IIR 滤波器的优点与不足如下:优点:

1、具有严格的线性相位又具有任意的幅度;

2、FIR 滤波器的单位抽样响应是有限长的,因而滤波器性能稳定;

3、FIR 滤波器由于单位冲击响应是有限长的,因而可用快速傅里叶变换(FFT)算法来实现过滤信号,可大大提高运算效率。

不足:

1、FIR 系统的系数长度一般会比IIR 系统大,也就是说要设计一个符合要求的滤波器,FIR 系统需要较多乘法器,当以直接回旋运算执行时期效率较差。

2、此系统的输出延迟时间长。

由上面的比较可以看出,FIR 滤波器还是存在缺点的,但采用FPGA 进行FIR滤波器的设计,运用FPGA 中的算法来提高速度,缩短延迟的时间,可以使FIR滤波器符合指标的要求。随着FPGA 的快速发展,FIR 的缺点将逐渐不成为其缺点。

线性相位响应的优点如下:

1、设计问题中只有实数运算而没有复数运算,运算简单;

2、线性相位FIR 滤波器没有延时失真,仅有某一固定时延,便于设计;

3、对于长度为M(或M-1)的滤波器,其运算次数具有M/2 量级,提高运算速度,节省资源。

firls()和remez()的基本格式用于设计I 型和II 型线性相位FIR 滤波器,是偶对称滤波器,I 型和II 型的区别在于滤波器的阶数是偶数还是奇数。

1、速度的进一步提高,本设计中已应用了流水线技术来缩短设计运行的时间,但在以后的研究中,仍然可以通过算法的改进来减少所需器件的数量,以此来缩短运行时间,或找到更好的方法进行乘累加器的设计。

2、输入的方式的改变,采用串行结构,浪费运行的时间,本设计为节约时间采用的并行方式,占用的资源相对多。今后在设计对速度要求不是过高的滤波器时,可考虑采用并串结合的方式或字串行处理的方式来实现

FIR 滤波器实现主要有3 种:一种是基于MAC 结构,即乘累加结构,而这又分为直接和转置两种;第二种,基于DA结构,即分布式结构;第三种主要是Aletra 公司提供的IP Core。

然而面对给定的系数寻找出资源最少的乘积模块是一件极其困难的事情,当前世界流行的算法有CSE 、Hcub、BHM和RAG的方法,Hcub是目前公认的最高效算法,但是其缺点就是计算方法过于复杂。一般来说RAG要比CSE和BHM算法性能更好[39]

窗函数的选择原则是:

(1)具有较低的旁瓣幅度,尤其是第一旁瓣幅度。

(2)旁瓣幅度下降速度要快,以利于增加阻带衰减。

(3)主瓣宽度要窄,以获得较陡的过渡带。

通常上述几点很难同时满足,当选用主瓣宽度较窄时,虽然得到较陡的过渡带,但通带和阻带的波动明显增加;当选用最小的旁瓣幅度时,虽然能得到匀滑的幅度响应和较小的阻带波动,但是过渡带加宽。因此,实际选用的窗函数往往事它们的折中。在保证主瓣宽度达到一定要求的情况下,适当地牺牲主瓣的宽度来换取旁瓣波动的减少。

傅里叶变换算法和快速数字滤波算法

同模拟滤波相比较,数字滤波具有许多非常突出的优点。例如:它可以更好

的满足滤波器对幅度和相位特性的严格要求,可以从根本上避免模拟滤波所无法克服的温度漂移、电压漂移等噪声问题。数字滤波器设计的好坏对其相关的众多工程技术领域具有很大影响,一个好的数字滤波器的问世甚至会有效的推动众多工程领域的技术改造和学科发展。所以对与数字滤波器的基本硬件结构、工作原理及其实现的方法进行深入研究是具有非常重要的意义的。

近几年来,国外利用FPGA就己经实现了许许多多的DSP算法,其中最为

显著的成果是定点和浮点FFT块。这些算法己经被应用到许多的实际问题中,

如超声波的图像相位补偿系统,人工神经网络等l0]

目前,利用FPGA开发DSP算法特别像浮点FFT、DCT、小波变换等

较国际水平而一言依然较弱

4..总结研究及发展

国外有许多院校和科研机构在研究基于FPGA的DSP应用,比较突出有Dennlark 大学的研究小组正在从事FPGA实现数字滤波器的研究。由于FPGA实现乘法器有困难,因此他们重点研究开发无乘法的滤波器算法。加州大学洛杉矶分校的研究小组采用运行时重构技术开发了一种视频通讯系统,该系统用一片FPGA可每帧重构四次完成视频图像压缩和传送的操作。此外,他们还在进行Mojvae项目的开发工作,力图采用运行时重构技术来实现自动目标识别应用。

我国在DSP技术方面起步较早,产品的研究开发成绩斐然,基本上与国外同步发展,而在FPGA方面起步较晚。全国有100来所高等院校从事DPS&FPGA的教学和科研,除了一部分DSP芯片需要从国外进口外,在信号处理理论和算法方面,与国外处于同等水平。而在FPGA信号处理和系统方面,有了喜人的进展,正在进行

与世界先进国家同样的研究。如,珏北工业大学和国防科学技术大学的ATR实验室采用了FPGA可重构计算系统进行机载图像处理和自动目标识别,主要是利用该系统进行复杂的卷积运算,同时利用它的可变柔性来达到自适应的目的。北京理工大学研究利用FPGA提高加解密运算的速度,等等。

现在,可编程逻辑器件在密度、性能和功耗上都有显著的进步,为数字信号处理开创了新的领域,使得构造的数字信号处理系统能够保持基于软件的解决方案的灵活性,又能够接近ASIC的性能。FPGA内部的功能块中的SRAM查找表(Look Up Table,删构成函数发生器,这种结构特别适用于并行处理结构,如分布式算法(Distributed Arithmetic,DA)。相对于串行运算为主导的通用DSP芯片来说,其并行度和可扩展性都很好,它逐渐成为构造可编程的高性能算法结构的新的选择。据分析,在未来,数字信号处理器将会主宰需要复杂算法的应用领域,而

FPGA将会统治更多前端的应用,如FIR滤波、CORDIC算法或FFT。

维纳滤波器、卡尔曼滤波器、自适应滤波器。

FIR滤波器不能采用由模拟滤波器的设计进行转换的方法,经常用的是窗函数法和频率采样法。对于线性相位滤波器,通常采用FIR滤波器,其单位脉冲响应满足一定条件,可以证明其相位特性在整个频带中是严格线性的,这是模拟滤波器无法达到的。当然也可以采用IIR滤波器,但必须使用全通网络对其非线性相位进行相位矫正,这样增加了设计与实现的复杂性。

在未来,PDSP将会主宰需要复杂算法的应用领域(例如:多重if-then-else结构),而FPGA将会统治更多前端(传感器)的应用,例如FIR滤波器、CORDIC算法或FFT。

FIR滤波器的设计

实验三:FIR 数字滤波器的设计 实验目的 1) 掌握用窗函数法,频率采样法及优化设计法设计FIR 滤波器的原理及方法。 2) 熟悉线性相位FIR 滤波器的幅频特性和相频特性。 3) 了解各种不同窗函数对滤波器性能的影响。 一、 实验内容 1. N=45,计算并画出矩形窗、汉明窗、布莱克曼窗的归一化的幅度谱,并比较各自的主要 特点。 clear all; N=45; wn1=kaiser(N,0); wn2=hamming(N); wn3=blackman(N); [h1,w1] = freqz(wn1,N); [h2,w2] = freqz(wn2,N); [h3,w3] = freqz(wn3,N); plot(w1/pi,20*log10(abs(h1)),'r-',w2/pi,20*log10(abs(h2)),'b-',w3/pi,20*log10(abs(h3)),'g-'); axis([0,1,-120,10]);grid; xlabel('归一化频率/\pi'); ylabel('幅度/dB'); title('三种窗口函数'); legend('矩形窗','汉明窗','布莱克曼窗',3); 归一化频率/ 幅度/d B 分析:阻带衰减和过渡带带宽是相互矛盾的,矩形窗过渡带带宽窄,但是阻带衰减比较少;布莱克曼窗过渡带带宽宽,但是阻带衰减比较大

2. N=15,带通滤波器的两个通带边界分别是ω1=0.3π,ω2=0.5π。用汉宁窗设计此线性 相位带通滤波器,观察它的实际3dB 和20dB 带宽。N=45,重复这一设计,观察幅频和相位特性的变化,注意长度N 变化的影响。 N=15; h= fir1(N-1,[0.3 0.5],'bandpass',hanning(N)); figure(1) freqz(h,1);axis([0,1,-60,10]); title('N=15,汉宁窗'); N=45; h= fir1(N-1,[0.3 0.5],'bandpass',hanning(N)); figure(2) freqz(h,1);axis([0,1,-60,10]); title('N=45,汉宁窗'); 00.10.2 0.30.40.50.60.70.80.91 -1000 -500 500 Normalized Frequency (?π rad/sample) P h a s e (d e g r e e s ) 00.10.2 0.30.40.50.60.70.80.91 -60 -40-20 0Normalized Frequency (?π rad/sample) M a g n i t u d e (d B ) N=15,汉宁窗

fir低通滤波器设计(完整版)

电子科技大学信息与软件工程学院学院标准实验报告 (实验)课程名称数字信号处理 电子科技大学教务处制表

电 子 科 技 大 学 实 验 报 告 学生姓名: 学 号: 指导教师: 实验地点: 实验时间:14-18 一、实验室名称:计算机学院机房 二、实验项目名称:fir 低通滤波器的设计 三、实验学时: 四、实验原理: 1. FIR 滤波器 FIR 滤波器是指在有限范围内系统的单位脉冲响应h[k]仅有非零值的滤波器。M 阶FIR 滤波器的系统函数H(z)为 ()[]M k k H z h k z -==∑ 其中H(z)是k z -的M 阶多项式,在有限的z 平面内H(z)有M 个零点,在z 平面原点z=0有M 个极点. FIR 滤波器的频率响应 ()j H e Ω 为 0 ()[]M j jk k H e h k e Ω -Ω ==∑ 它的另外一种表示方法为 () ()()j j j H e H e e φΩΩΩ=

其中 () j H e Ω和()φΩ分别为系统的幅度响应和相位响应。 若系统的相位响应()φΩ满足下面的条件 ()φαΩ=-Ω 即系统的群延迟是一个与Ω没有关系的常数α,称为系统H(z)具有严格线性相位。由于严格线性相位条件在数学层面上处理起来较为困难,因此在FIR 滤波器设计中一般使用广义线性相位。 如果一个离散系统的频率响应 ()j H e Ω 可以表示为 ()()()j j H e A e αβΩ-Ω+=Ω 其中α和β是与Ω无关联的常数,()A Ω是可正可负的实函数,则称系统是广义线性相位的。 如果M 阶FIR 滤波器的单位脉冲响应h[k]是实数,则可以证明系统是线性相位的充要条件为 [][]h k h M k =±- 当h[k]满足h[k]=h[M-k],称h[k]偶对称。当h[k]满足h[k]=-h[M-k],称h[k]奇对称。按阶数h[k]又可分为M 奇数和M 偶数,所以线性相位的FIR 滤波器可以有四种类型。 2. 窗函数法设计FIR 滤波器 窗函数设计法又称为傅里叶级数法。这种方法首先给出()j d H e Ω, ()j d H e Ω 表示要逼近的理想滤波器的频率响应,则由IDTFT 可得出滤波器的单位脉冲响应为 1 []()2j jk d d h k H e e d π π π ΩΩ-= Ω ? 由于是理想滤波器,故 []d h k 是无限长序列。但是我们所要设计的FIR 滤波 器,其h[k]是有限长的。为了能用FIR 滤波器近似理想滤波器,需将理想滤波器的无线长单位脉冲响应 []d h k 分别从左右进行截断。 当截断后的单位脉冲响应 []d h k 不是因果系统的时候,可将其右移从而获得因果的FIR 滤波器。

FIR滤波器设计实验报告

实验报告 课程名称:数字信号处理 实验项目:FIR滤波器设计 专业班级: 姓名:学号: 实验室号:实验组号: 实验时间:批阅时间: 指导教师:成绩:

实验报告 专业班级: 学号: 姓名: 一、实验目的: 1、熟悉线性相位FIR 数字低通滤波器特性。 2、熟悉用窗函数法设计FIR 数字低通滤波器的原理和方法。 3、了解各种窗函数对滤波特性的影响。 要求认真复习FIR 数字滤波器有关内容实验内容。 二、实验原理 如果所希望的滤波器理想频率响应函数为)(e H j ωd ,则其对应的单位样值响应为 ωπ= ωππ -?d e j ωn j d d e )(H 21(n)h 窗函数法设计法的基本原理是用有限长单位样值响应h(n)逼近(n)h d 。由于(n)h d 往往是无限长序列,且是非因果的,所以用窗函数(n)w 将(n)h d 截断,并进行加权处理,得 到:(n)(n)h h(n)d w ?=。h(n)就作为实际设计的FIR 滤波器单位样值响应序列,其频率函数)H(e j ω 为∑-=ω= 1 n n j -j ω h(n)e )H(e N 。式中N 为所选窗函数(n)w 的长度。 用窗函数法设计的FIR 滤波器性能取决于窗函数类型及窗口长度N 的取值。设计过程中要根据阻带衰减和过渡带宽度的要求选择合适的窗函数类型和窗口长度N 。各类窗函数所能达到的阻带最小衰减和过渡带宽度见P342表7-3。 选定窗函数类型和长度N 以后,求出单位样值响应(n)(n)h h(n)d w ?=。验算 )()()]([)(ω?ωω==j g j e H n h DTFT e H 是否满足要求,如不满足要求,则重新选定窗函 数类型和长度N ,直至满足要求。 如要求线性相位特性,h(n)还必须满足n)-1-h(N h(n)±=。根据上式中的正、负号和长度N 的奇偶性又将线性相位FIR 滤波器分成4类(见P330表7-1及下表),根据要设计的滤波器特性正确选择其中一类。例如要设计低通特性,可选择情况1、2,不能选择情况3、4。

FIR数字滤波器设计与使用

实验报告 课程名称:数字信号处理指导老师:刘英成绩:_________________实验名称: FIR数字滤波器设计与使用同组学生姓名:__________ 一、实验目的和要求 设计和应用FIR低通滤波器。掌握FIR数字滤波器的窗函数设计法,了解设计参数(窗型、窗长)的影响。 二、实验内容和步骤 编写MATLAB程序,完成以下工作。 2-1 设计两个FIR低通滤波器,截止频率 C =0.5。 (1)用矩形窗,窗长N=41。得出第一个滤波器的单位抽样响应序列h 1(n)。记下h 1 (n) 的各个抽样值,显示h 1 (n)的图形(用stem(.))。求出该滤波器的频率响应(的N 个抽样)H 1(k),显示|H 1 (k)|的图形(用plot(.))。 (2)用汉明窗,窗长N=41。得出第二个滤波器的单位抽样响应序列h 2(n)。记下h 2 (n) 的各个抽样值,显示h 2(n)的图形。求出滤波器的频率响应H 2 (k),显示|H 2 (k)|的 图形。 (3)由图形,比较h 1(n)与h 2 (n)的差异,|H 1 (k)|与|H 2 (k)|的差异。 2-2 产生长度为200点、均值为零的随机信号序列x(n)(用rand(1,200)0.5)。显示x(n)。 求出并显示其幅度谱|X(k)|,观察特征。 2-3 滤波 (1)将x(n)作为输入,经过第一个滤波器后的输出序列记为y 1(n),其幅度谱记为|Y 1 (k)|。 显示|X(k)|与|Y 1 (k)|,讨论滤波前后信号的频谱特征。 (2)将x(n)作为输入,经过第二个滤波器后的输出序列记为y 2(n),其幅度谱记为|Y 2 (k)|。 比较|Y 1(k)|与|Y 2 (k)|的图形,讨论不同的窗函数设计出的滤波器的滤波效果。 2-4 设计第三个FIR低通滤波器,截止频率 C =0.5。用矩形窗,窗长N=127。用它对x(n)进行滤波。显示输出信号y

FIR数字滤波器设计与实现

FIR 数字滤波器设计与实现 一.摘要:数字滤波器是一种具有频率选择性的离散线性系统,在信号数字处理中有着广泛的应 用。其中FIR 滤波器是一种常用的滤波器,它在保证幅度特性满足技术要求的同时,很容易做到严格的线性相位特性,在语音分析、图像处理、雷达监测等对信号相位要求高的领域有着广泛的应用,能实现IIR 滤波器不能实现的许多功能。 二.关键词:FIR 窗函数系统函数MATLAB 三.内容提要: 数字滤波器的功能就是把输入序列通过一定的运算变换成输出序列,因此数字滤波器的结构系 统中就必须包括一定数量和性能的运算器件和运算单元,而运算器件和运算单元的配置必须由数字滤波器的结构特点和性能特点来决定,因此在进行FIR 数字滤波器的设计之前,有必要介绍和总结FIR 数字滤波器的基本结构和相关特性(包括频响曲线(幅度和相位),单位冲激响应等),在介绍完其基本结构和相关特性后,就进行FIR 数字滤波器的设计和实现。 (一)FIR 滤波器的基本结构 在讨论任何一种滤波器时,都要着重分析其系统函数,FIR 滤波器的系统函数为: n N n z n h z H ∑-==1 0)()(。从该系统函数可看出,FIR 滤波器有以下特点: 1)系统的单位冲激响应h(n)在有限个n 值处不为零; 2)系统函数H(z)在|z|>0处收敛,极点全部在z=0处(稳定系统); 3)结构上主要是非递归结构,没有输出到输入的反馈,但有些结构中(例如频率抽样结构)也包 含有反馈的递归部分。 1.FIR 滤波器实现的基本结构有: 1) 横截型(卷积型、直接型) a.一般FIR 滤波器的横截型(直接型、卷积型)结构: 若给定差分方程为: 。则可以直接由差分方程得出FIR 滤波器结构如 下图所示: 这就是FIR 滤波器的横截型结构,又称直接型或卷积型结构。 b .线性相位FIR 滤波器的横截型结构

fir低通滤波器matlab编程滤波前后图形

Matlab实现振动信号低通滤波 附件txt中的数字是一个实测振动信号,采样频率为5000Hz,试设计一个长度为M=32的FIR低通滤波器,截止频率为600Hz,用此滤波器对此信号进行滤波。 要求: (1)计算数字截止频率; (2)给出滤波器系数; (3)绘出原信号波形; (4)绘出滤波后的信号波形; 解答过程: 第一部分:数字截止频率的计算 数字截止频率等于截止频率除以采样频率的一半,即 n=600/5000/2=0.24第二部分:滤波器系数的确定 在matlab中输入如下程序,即可得到滤波器系数: n=32 Wn=0.24 b=fir1(n,Wn) 得到的滤波器系数b为 Columns 1 through 9 -0.0008-0.0018-0.0024-0.00140.00210.00750.01100.0077-0.0054Columns 10 through 18

-0.0242-0.0374-0.02990.00870.07560.15370.21660.24070.2166Columns 19 through 27 0.15370.07560.0087-0.0299-0.0374-0.0242-0.00540.00770.0110Columns 28 through 33 0.00750.0021-0.0014-0.0024-0.0018-0.0008 第三部分:原信号波形 将附件4中的dat文件利用识别软件读取其中的数据,共1024个点,存在TXT文档中,取名bv.txt,并复制到matlab的work文件夹。 在matlab中编写如下程序: x0=load('zhendong.txt');%找到信号数据地址并加载数据。 t=0:1/5000:1023/5000;%将数据的1024个点对应时间加载 figure(1); plot(t,x0); xlabel('t/s'); ylabel('幅值'); 运行之后就得到如下波形,即振动信号的原始波形图: 1.5 1 0.5 幅 值

FIR数字滤波器设计与软件实现

实验二:FIR数字滤波器设计与软件实现 一、实验指导 1.实验目的 (1)掌握用窗函数法设计FIR数字滤波器的原理和方法。 (2)掌握用等波纹最佳逼近法设计FIR数字滤波器的原理和方法。(3)掌握FIR滤波器的快速卷积实现原理。 (4)学会调用MATLAB函数设计与实现FIR滤波器。 2.实验内容及步骤 (1)认真复习第七章中用窗函数法和等波纹最佳逼近法设计FIR数字滤波器的原理; (2)调用信号产生函数xtg产生具有加性噪声的信号xt,并自动显示xt及其频谱,如图1所示; 图1 具有加性噪声的信号x(t)及其频谱如图 (3)请设计低通滤波器,从高频噪声中提取xt中的单频调幅信号,要求信号幅频失真小于0.1dB,将噪声频谱衰减60dB。先观察xt的频谱,确定滤波器指标参数。

(4)根据滤波器指标选择合适的窗函数,计算窗函数的长度N,调用MATLAB函数fir1设计一个FIR低通滤波器。并编写程序,调用MATLAB快速卷积函数fftfilt实现对xt的滤波。绘图显示滤波器的频响特性曲线、滤波器输出信号的幅频特性图和时域波形图。(4)重复(3),滤波器指标不变,但改用等波纹最佳逼近法,调用MATLAB函数remezord和remez设计FIR数字滤波器。并比较两种设计方法设计的滤波器阶数。 提示:○1MATLAB函数fir1的功能及其调用格式请查阅教材; ○2采样频率Fs=1000Hz,采样周期T=1/Fs; ○3根据图1(b)和实验要求,可选择滤波器指标参数:通带截止频率fp=120Hz,阻带截至频率fs=150Hz,换算成数字频率,通带截止 频率 p 20.24 p f ωπ =T=π,通带最大衰为0.1dB,阻带截至频率 s 20.3 s f ωπ =T=π,阻带最小衰为60dB。 ○4实验程序框图如图2所示,供读者参考。

FIR数字滤波器设计及软件实现

实验五:FIR数字滤波器设计及软件实现 一、实验目的: (1)掌握用窗函数法设计FIR数字滤波器的原理和方法。 (2)掌握用等波纹最佳逼近法设计FIR数字滤波器的原理和方法。 (3)掌握FIR滤波器的快速卷积实现原理。 (4)学会调用MATLAB函数设计与实现FIR滤波器。 二、实验容及步骤: (1)认真复习第七章中用窗函数法和等波纹最佳逼近法设计FIR数字滤波器的原理; (2)调用信号产生函数xtg产生具有加性噪声的信号xt,并自动显示xt及其频谱,如图1所示; 图1 具有加性噪声的信号x(t)及其频谱如图 (3)请设计低通滤波器,从高频噪声中提取xt中的单频调幅信号,要求信号幅频失真小于0.1dB,将噪声频谱衰减60dB。先观察xt的频谱,确定滤波器指标参数。 (4)根据滤波器指标选择合适的窗函数,计算窗函数的长度N,调用MATLAB函数fir1设计一个FIR低通滤波器。并编写程序,调用MATLAB快速卷积函数fftfilt实现对xt的滤波。绘图显示滤波器的频响特性曲线、滤波器输出信号的幅频特性图和时域波形图。 (4)重复(3),滤波器指标不变,但改用等波纹最佳逼近法,调用MATLAB函数remezord和remez设计FIR数字滤波器。并比较两种设计方法设计的滤波器阶数。 友情提示: ○1MATLAB函数fir1和fftfilt的功能及其调用格式请查阅本课本;

○ 2采样频率Fs=1000Hz ,采样周期T=1/Fs ; ○ 3根据图10.6.1(b)和实验要求,可选择滤波器指标参数:通带截止频率fp=120Hz ,阻带截至频率fs=150Hz ,换算成数字频率,通带截止频率 p 20.24p f ωπ=T =π,通带最大衰为0.1dB ,阻带截至频率s 20.3s f ωπ=T =π,阻带最小衰为60dB 。] ○ 4实验程序框图如图2所示。 图2 实验程序框图 三、实验程序: 1、信号产生函数xtg 程序清单: %xt=xtg(N) 产生一个长度为N,有加性高频噪声的单频调幅信号xt,采样频率Fs=1000Hz %载波频率fc=Fs/10=100Hz,调制正弦波频率f0=fc/10=10Hz. function xt=xtg N=1000;Fs=1000;T=1/Fs;Tp=N*T; t=0:T:(N-1)*T; fc=Fs/10;f0=fc/10; %载波频率fc=Fs/10,单频调制信号频率为f0=Fc/10;

fir滤波器实现方法

目录 第一章、绪论 (3) 1.1数字滤波器发展概况 (4) 1.1.1数字滤波器技术发展动态 (5) 1.1.2FIR DF和IIR DF比较 (6) 第二章、FIR滤波器设计方法 (7) 第三章、FIR数字滤波器理论及基于MATLAB设计方法 (13) 3.1数字滤波器的分类 (13) 3.2FIR数字滤波器的结构 (14) 3.3FIR数字滤波器软件设计方法 (18) 3.4傅氏级数设计FIR滤波器的基本理论 (19) 3.5基于MATLAB的FIR滤波器设计 (22) 参考书目 (32) 鸣谢 (33)

摘要 数字滤波技术是数字信号处理的核心技术,而FIR数字滤波器因其具有严格的线性相位、总是稳定等特点而广泛应用于数字信号处理的各个领域,是一个非常重要的研究课题。本文主要研究了数字滤波器的基本理论,并对有限冲击响应滤波器的设计和实现进行了分析和研究,尤其是在MATLAB环境下的FIR数字滤波器的设计,主要是窗函数法及利用MATLAB环境下FIR滤波器的设计工具的设计方法,并用MATLAB语言编写了可以选择滤波器四种类型及七种窗口函数的仿真程序,进行了具体的仿真分析。 关键词:数字信号处理器;数字滤波器;FIR;MATLAB Abstract Digital fitler algorithm is one of the core techniques in processing.The FIR digital filter has been widely used in signal processing field because of its strict linear phase and stability,now,it becomes a very sigificant research task. In this paper,the mainly task is researching the basic theories of the digital filter,and analyzing the designing and realization of the Finite Impulse Response digital filter(FIR),especially the method which realized under MATLAB environment,the window functions is primarily introduced,the method of using the digital filter design tools in MATLAB is also applied.It also compiles a procedure with MATLAB language

FIR滤波器设计

数字信号与处理FIR滤波器设计 院系:机电工程学院 专业(班级):电子信息工程2班 姓名: 学号: 2010408 指导教师: 职称:副教授、助教 完成日期:2013 年11 月18 日

目录 1 引言 (1) 2 滤波器的简介 (2) 2.1 数字滤波器的发展 (2) 2.2数字滤波器的实现方法 (2) 2.3数字滤波器的分类 (2) 3.1 设计方法 (4) 3.2有限冲击响应滤波原理 (4) 3.3 FIR滤波器的结构图 (5) 3.3 FIR数字滤波器阶数计算 (5) 3.3 在matlab中算出滤波系数 (6) 3.4 FIR数字滤波器设计方法 (6) 3.5 程序功能顺序图 (8) 4 调试的步骤及调试过程中出现的问题以及解决方法 (10) 4.1 调试步骤 (10) 4.2调试结果 (13) 4.3调试问题解决 (14) 5 结论 (16) 6 设计心得体会 (17) 附录A 程序 (19)

FIR滤波器设计 1 引言 数字滤波器是数字信号处理中最重要的组成部分之一,数字滤波器是由数字乘法器、加法器和延时单元组成的一种算法或装置,可作为应用系统对信号的前期处理。用DSP芯片实现的数字滤波器具有稳定性好、精确度高、灵活性强及不受外界影响等特性。因此基于DSP实现的数字滤波器广泛应用于语音图像处理、数字通信、频谱分析、模式识别、自动控制等领域,具有广阔的发展空间。 随着计算机和信息技术的飞速发展,数字信号处理已经成为高速实时处理的一项关键技术,广泛应用在语音识别、智能检测、工业控制等各个领域。数字滤波器是对数字信号实现滤波的线性时不变系统。数字滤波实质上是一种运算过程,实现对信号的运算处理。 DSP数字信号处理是一门涉及许多学科而又广泛应用于许多领域的新兴学科。20世纪60年代以来,随着计算机和信息技术的飞速发展,数字信号处理技术应运而生并得到迅速的发展。传感器数字信号处理是利用传感器对模拟信号或数字信号进行采集并把其转换成计算机可识别的电信号,并利用计算机对信号进行处理以达到计算机辅助控制或是计算机自动控制的目的。 DSP 芯片是一种特别适合数字信号处理运算的微处理器,主要用来实时、快速地实现各种数字信号处理算法。用DSP 芯片实现FIR数字滤波器,不仅具有精确度高、不受环境影响等优点,而且因DSP 芯片的可编程性,可方便地修改滤波器参数,从而改变滤波器的特性,设计十分灵活。

半带FIR低通滤波器

数字信号处理课程设计 设计题目半带FIR低通滤波器设计 题目编号 0205 学院名称电气学院 指导教师 班级 学号 学生姓名

目录 一.半带滤波器的概述 (1) 二.半带FIR滤波器的性质及设计方法 (1) 2.1半带FIR滤波器的性质 (1) 2.2半带滤波器的设计方法 (2) 2.3滤波器指标的确定 (3) 2.4手工计算 (4) 三.在MATLAB中仿真 (4) 3.1滤波器的系数 (4) 3.2半带FIR滤波器的频率特性曲线 (4) 3.3基于MATLAB的幅频响应曲线 (5) 四.滤波器的结构不同对性能指标的影响 (6) 4.1利用直接型结构构建滤波器 (6) 4.2利用级联型结构构建数字滤波器 (7) 五.参数字长对性能指标的影响 (8) 5.1参数字长取2位对性能指标的影响 (8) 5.2参数字长取8位对性能指标的影响 (8) 5.3参数字长取12位对性能指标的影响 (9) 5.4参数字长取14位对性能指标的影响 (9) 5.5结论 (9) 六.心得体会 (9) 七.参考文献 (10) 八.附录 (10)

半带FIR 低通滤波器的设计 一.半带滤波器的概述 在数字滤波器的设计过程中,为了能够有效地进行抽取滤波,往往采用多级抽取的方法,这就需要采用内插和抽取的原理。如果对滤波器进行M 倍抽取,则输出的采样频率是输入采样频率的I /M ;如果对滤波器进行M 倍内插,则输出的采样频率是输入采样频率的M 倍。 半带滤波器是一种基于抽取和内插原理的滤波器,它是一种特殊的低通FIR(有限冲激响应)数字滤波器——一种抽取因子为2的抽取滤波器,这种滤波器由于通带和阻带相对于二分之一Nyquist 频率对称,因而有近一半的滤波器系数为O 。由于系数为0的部分在运算的过程中不需要消耗运算量,所以运算量减少了一半,使实际滤波过程中的运算量大幅度减少。因此计算的效率高,实时性比较强,有利于滤波运算的实时实现,多速率信号处理中有着特别重要的地位,并且得到了广泛的应用。 二.半带FIR 滤波器的性质及设计方法 2.1半带FIR 滤波器的性质 图1为抽取因子为2的半带滤波器的框图,其中:)(z H h 为抽取滤波器,s f 为输入采样 率,2/1s s f f =为输出采样率。 图1 抽取因子为2的半带滤波器框图 现在考虑频率特性如图2所示的一种特殊的FIR 滤波器,即为半带FIR 滤波器,它具有如下的特性: (1)通带纹波p δ和阻带纹波s δ相等,即s p δδ=; (2)通带边频p F 和阻带边频s F 相对于4/s f 对称,即2/s s p f F F =+,用数字频率表示为:πωω=+s p 。 f s x(n) H h (z) f s 2 2/1s s f f =

FIR低通数字滤波器的设计要点

《DSP技术与应用》课程设计报告 课题名称:基于DSP Builder的FIR数字滤波器的设计与实现 学院:电子信息工程学院 班级:11级电信本01班 学号: 姓名:

题目基于DSP Builder的FIR数字滤波器的设计与实现 摘要 FIR数字滤波器是数字信号处理的一个重要组成部分,由于FIR数字滤波器具有严格的线性相位,因此在信息的采集和处理过程中得到了广泛的应用。本文介绍了FIR数字滤波器的概念和线性相位的条件,分析了窗函数法、频率采样法和等波纹逼近法设计FIR滤波器的思路和流程。在分析三种设计方法原理的基础上,借助Matlab仿真软件工具箱中的fir1、fir2和remez子函数分别实现窗函数法、频率采样法和等波纹逼近法设计FIR滤波器。然后检验滤波器的滤波效果,采用一段音频进行加噪声然后用滤波器滤,对比三段音频效果进而对滤波器的滤波效果进行检验。仿真结果表明,在相频特性上,三种方法设计的FIR滤波器在通带内都具有线性相位;在幅频特性上,相比窗函数法和频率采样法,等波纹逼近法设计FIR滤波器的边界频率精确,通带和阻带衰减控制。

Abstract FIR digital filter is an important part of digital signal processing, the FIR digital filter with linear phase, so it has been widely applied in the collection and processing of information in the course of. This paper introduces the concept of FIR digital filter with linear phase conditions, analysis of the window function method and frequency sampling method and the ripple approximation method of FIR filter design ideas and processes. Based on analyzing the principle of three kinds of design methods, by means of fir1, fir2 and Remez function of Matlab simulation software in the Toolbox window function method and frequency sampling method and respectively realize equiripple approximation method to design FIR filter. Then test the filtering effect of the filter, using an audio add noise and then filter, test three audio effects and comparison of filter filtering effect. Simulation results show that the phase frequency characteristic, three design methods of FIR filter with linear phase are in the pass band; the amplitude frequency characteristics, compared with the window function method and frequency sampling method, equiripple approximation method Design of FIR filter with accurate boundary frequency, the passband and stopband attenuation control.

FIR数字滤波器的算法实现与习题(1)

FIR数字滤波器的算法实现与习题 [例3] 窗函数设计法实现一个低通数字滤波器,要求通带截止频率10kHz,阻带截止频率22kHz,阻带衰减大于75dB,采样频率f s=75kHz。 过渡带宽度=通带截止频率+过渡带宽度/2=10kHz+12/2kHz=16 kHz 数字截止频率 Ω1=2π×f1/ f s=2π×16/50=0.64π 理想低通滤波器单位脉冲响应 h1(n)=sin(nΩ1)/n/π=sin(0.64πn)/n/π 选择布莱克曼窗,滤波器长度为 N=5.98 f s过渡带宽度=5.98×50/12=24.9 取N=25,布莱克曼窗函数为 w(n)=0.42-0.5cos(2πn/24)+0.08cos(4πn/24) 滤波器单位脉冲响应为 h(n)= h1(n)w(n) n≤N-1 h(n)=0 n< N-1 根据上式计算出,然后将单位脉冲响应移位为因果序列,完成的滤波器差分方程为y(n)=0.001x(n-2) -0.002x(n-3) -0.002x(n-4)+0.01x(n-5)-0.009x(n-6)-0.018x(n-7)+0.049x(n-8) -0.02x(n-10)+0.11x(n-11)+0.28x(n-11)+0.64x(n-13)+0.28x(n-14)-0.11x(n-15)-0.02x(n-16)-0.049x( n-17)-0.018x(n-18)-0.009x(n-19)+0.01x(n-20)-0.002x(n-21)-0.002x(n-22)+0.001x(n-23) 数字滤波器程序如下: #include “math.h”//数学函数头文件 #define N 25 //FIR阶数N #define PI 3.1415926 float InputWave( );//输入波形 float FIR();// FIR滤波函数声明 float fHn[N]={0.0,0.0,0.001,-0.002,-0.002,0.01,-0.009,//滤波器系数-0.018,0.049,-0.02,0.11,0.28,0.64,0.28, -0.11,-0.02,0.049,-0.018,-0.009,0.01, -0.002,-0.002,0.001,0.0,0.0}; float fXn[N]={0.0}; float fInput,fOutput; float fSignal1,fSignal2; float fStepSignal1,fStepSignal2; float f2PI;//2*PI int i; float FIN[256],FOUT[256];//输入信号与输出信号 i int nIn,nOut; main(void) { nIn=0;nOut=0; f2PI =2*PI; fSignal1=0.0; fSignal2=PI*0.1;

c语言写的fir低通滤波器

根据fir滤波器的公式y(n)=∑h(m)x(n-m);(m: 0~(N-1)).利用MATLAB产生滤波器系数(h(n))并归一化,下面为一个LP滤波算法 void filter(void) { uint16 i,j; fp32 sum; int16 x1[2030]; fp32 h[19]={ -0.0027, -0.0025, 0.0050, 0.0157, -0.0000, -0.0471, -0.0482, 0.0838, 0.2953, 0.4013, 0.2953, 0.0838, -0.0482, -0.0471, -0.0000,0.0157, 0.0050, -0.0025, -0.0027}; for(i=0;i<2020;i++) x1[i] = data0[i]; for(i=0;i<2020;i++) { sum=0.0; for(j=0;j<19;j++) { if(i >= j) sum+=h[j]*x1[i-j]; else ; } data0[i]=(int16)sum; } for(i=0;i<2000;i++) { data0[i] = data0[i+20]; } } 考虑到前19个点为不完全累加和,故抛去前19个点。(应该是前后各18个点都是不完全累加和,都应该去掉,对于数据分段进入滤波器的情况,应该把前一段的后面数据放到下一段的前面,这段时间我在解调FSK时遇到了这个问题,通过滤波器的数据的分段处理。) 设输入数据x[N],输出数据y[N],滤波器系数h[n] 1.直接法(由y(m)=h(0)*x(m)+h(1)*x(m-1)+...+h(N-1)*x(m-n-1)); void fir(short x[], short h[], short y[]) { int i,j; long long sum; for (j = 0; j < N; j++) { sum = 0; for (i = 0; i < n; i++) sum += x[j-i] * h[i]; y[j] = sum >> 15; } } 乘法器使用次数:N*n 2.逆推法: void fir(short x[], short h[], short y[])

实验六FIR滤波器的设计

实验六、用窗函数法设计FIR 数字滤波器 一、实验目的: (1)熟悉基本的窗函数,及其特点。 (2)掌握用窗函数法设计FIR 数字滤波器的原理和方法。 (3)熟悉线性相位FIR 数字滤波器特性。 二.实验原理 (一)FIR 滤波器的设计 FIR 滤波器具有严格的相位特性,这对于语音信号处理和数据传输是很重要的。目前FIR 滤波器的设计方法主要有三种:窗函数法、频率取样法和切比雪夫等波纹逼近的最优化设计方法。本实验中的窗函数法比较简单,可应用现成的窗函数公式,在技术指标要求不高的时候是比较灵活方便的。它是从时域出发,用一个窗函数截取一个理想的)(n h d 得到h(n),以有限长序列h(n)近似理想的)(n h d :如果从频域出发,用理想的)(jw d e h 在单位圆上等角度取样得到H (k ),根据h(k)得到H(z)将逼近理想的)(z H d 这就是频率取样法。 (二)窗函数设计法 同其它的的数字滤波器设计的方法一样,用窗函数设计滤波器也是首先要对滤波器提出性能指标。一般是给定一个理想的频率响应)(jw d e h ,使所设计的FIR 滤波器的频率响应 )(jw e h 去逼近所要求的理想的滤波器的响应)(jw d e h 窗函数设计的任务在于寻找一个可实 现(有限长单位脉冲响应)的传递函数。 ∑-=-=1 )()(N n jw jw n e n h e H 去逼近)(jw d e h 。我们知道,一个理想的频率响应)(jw d e h 的傅里叶变换 ?= π π 20 )(21 )(dw e e H n h jwn jw d d 所得到的理想的单位脉冲响应)(n h d 往往是一个无限长序列,对)(n h d 经过适当的加权、截取处理才得到一个所需要的有限长脉冲响应序列。对应不同的加权、截断,就有不同的窗函 数。所要寻找的滤波器脉冲响应就等于理想脉冲响应和窗函数的乘积。 即:)()()(n w n h n h d = 由此可见,窗函数的性质就决定了滤波器的品质。例如:窗函数的主瓣宽度决定了滤波器的过渡带宽;窗函数的旁瓣代销决定了滤波器的阻带衰减。以下是几种常见的窗函数: 1、矩形窗 )()(n R n w N = 2、Hanning 窗 )()]1 2cos( 1[5.0)(n R N n n w N --=π

FIR滤波器的原理及设计

选题2 实验讲义 实验名称:基于分布式算法的FIR 滤波器设计 1.数字滤波器基础知识 数字滤波是信号与信号处理领域的一个重要分支,在语音图像处理、模式识别、谱分析、无线通信等领域都有着非常广泛的应用。通过滤波运算,将一组输入数据序列转变为另一组输出数据序列,从而达到修正时域或频域中信号属性的目的。数字滤波器就是用于完成这种信号滤波功能,用有限精度算法来实现的一种离散时间线性时不变(LTI )系统。相比于模拟滤波器,数字滤波器具有以下优点:(1)数字滤波器的频域特性容易控制,性能指标优良;(2)数字滤波器可以工作在极低的频率,可以方便地实现模拟滤波器难以实现的线性相位系统;(3)数字滤波器工作稳定,一般不会受到外部环境的影响;(4)数字滤波器的灵活性和可重用性高,只需要简单编程就可以修改滤波器的特性,设计周期短。数字滤波器的实现可以采用专用DSP 芯片,通过编写程序,利用软、硬件结合完成滤波器设计,也可以采用市面上通用的数字滤波器集成电路来实现,但这两种方法无法适应高速应用场合。随着集成电路技术的高速发展,FPGA 应用越来越普及,FPGA 器件具有芯片密度大、执行效率高,速度快,集成度高等优点,用FPGA 芯片作为滤波器的设计载体,可以实现高速信号滤波功能。 1.1 FIR 数字滤波器特点 数字滤波器通常分为IIR (无限冲激响应)和FIR(有限冲激响应)两种。FIR 滤波器具有以下特点:(1)可以做成严格的线性相位,同时又可以具有任意的幅度特性(2)单位冲激响应是有限长的,所以一定是稳定的,因此在实际中得到广泛的应用。 1.2 FIR 滤波器结构 设FIR 滤波器的单位冲激响应为)(n h ,10-≤≤N n , 系统函数 ∑-=-= 1 )()(N n n z n h Z H 差分方程形式为:∑-=-=1 )()()(N k k n x k h n y (1) 基本结构(直接型):

用窗函数法设计FIR数字低通滤波器资料

河北科技大学课程设计报告 学生姓名:学号: 专业班级: 课程名称: 学年学期 指导教师: 20 年月

课程设计成绩评定表 学生姓名学号成绩 专业班级起止时间 设计题目 指 导 教 师 评 指导教师: 语 年月日

目录 1. 窗函数设计低通滤波器 1.1设计目的 (1) 1.2设计原理推导与计算 (1) 1.3设计内容与要求 (2) 1.4设计源程序与运行结果 (3) 1.5思考题 (10) 1.6心得体会 (14) 参考文献 (15)

1.窗函数设计低通滤波器 1.1设计目的 1. 熟悉设计线性相位数字滤波器的一般步骤。 2. 掌握用窗函数法设计FIR 数字滤波器的原理和方法。 3. 熟悉各种窗函数的作用以及各种窗函数对滤波器特性的影响。 4. 学会根据指标要求选择合适的窗函数。 1.2设计原理推导与计算 如果所希望的滤波器的理想的频率响应函数为() ωj d e H ,则其对应的单位脉冲响应为 ()() ωπ ωωπ π d e e H n h j j d d ?- = 21 (4.1) 窗函数设计法的基本原理是设计设计低通FIR 数字滤波器时,一般以理想低通滤波特性为逼近函数() ωj e H ,即 () ?????≤<≤=-π ωωωωωαω c c j j d ,, e e H 0,其中21-=N α ()() ()[]() a n a n d e e d e e H n h c j j j j d d c c --= = = ??- -- πωωπ ωπ ωαωω ωαω π π ω sin 21 21 用有限长单位脉冲响应序列()n h 逼近()n h d 。由于()n h d 往往是无限长序列,而且是非因果的,所以用窗函数()n ω将()n h d 截断,并进行加权处理,得到: ()()()n n h n h d ω= (4.2) ()n h 就作为实际设计的FIR 数字滤波器的单位脉冲响应序列,其频率响应函 数() ωj e H 为 ()()n j N n j e n h e H ωω ∑-==1 (4.3) 式中,N 为所选窗函数()n ω的长度。 用窗函数法设计的滤波器性能取决于窗函数()n ω的类型及窗口长度N 的取

实时FIR滤波器的实现

赣南师院 物理与电子信息学院课程设计报告 姓名:陈如意邹丽蓉贺玲玲 班级:09电信本 学号:090802003 090802071 090802053 时间:2012年4月25日

设计题目基于DSP的实时FIR滤波器的实现 设计要求1.熟悉AD50/McBSP的初始化设置及其使用方法,以及实时R滤波器的实现 2.了解DSP/BIOS程序设计。 3.熟悉DSPLIB中FIR函数的调用及参数设置。 4.掌握FIR滤波器的原理与设计方法; 5.学会在CCS平台编写C程序实现FIR滤波。 设计过程1.设计原理 1.1 FIR滤波器设计原理 在数字信号处理应用中往往需要设计线性相位的滤波器,FIR滤波器在保证幅度特性满足技术要求的同时,很容易做到严格的线性相位特性。FIR滤波器不断地对输入样本x(n)延时后,再作乘法累加算法,将滤波结果y(n)输出,因此,FIR实际上是一种累加运算。 在数字滤波器中,FIR滤波器的最主要的特点是没有反馈回路,故不存在不稳定的为题,同时,可以在幅度特性随意设置的同时,保证精确的线性相位。稳定和线性相位特性是FIR滤波器的突出优点。另外,它还有以下特点:设计方式是线性的;硬件容易实现;滤波器过渡过程具有有限区间;相对IIR滤波器而言,阶次较高,其延迟也要比同样性能的IIR滤波器大得多。 FIR数字滤波器系统的传递函数为: n N n z n b z X z Y z H- - = ∑ = = 1 ) ( ) ( ) ( ) ( 通过反z变换,数字滤波器的差分方程为: ) ( ) ( 1 k n x h n y N k k - =∑- = 由上式可以得出如下图所示的直接型结构,这种结构又可以成为卷积型结构。将转置理论应用于图1.1可以得到转置直接型结构。 将式中的系统函数H(z)分解成若干一阶和二阶多项式的连乘积: 2 1 1 2 1 1 ) ( ) ( ) ( M k k M k k z H z H z H = = = 则由此式可以构成如图1.2所示的级联型结构。其中1 )1( 1 )1( 1 ) (- + =z a a z H k k k 为一 阶节,)2( 2 )2( 1 )2( 2 ) ( k k k k a a a z H+ + =为二阶节。每个一阶节、二阶节可用图1.2级联型结构实现。当M1=M2时,即得到图1.3所示的具体结构。这种结构的每一节都便于控制零点,在需要控制传输零点时可以采用。但是它所需要的系数a比直接型的h(n)多。在对滤波器计算时间没有特殊要求的时候可以采用这种形式。若需要严格考虑滤波器的计算时间则需要同时考虑它们的优点及缺点来设计。这在算法设计的时候要使用软件编辑环境来计算运行的时间问题。通常FIR的计算时间都较长。很多时候我们需要牺牲时间来获得想要得到的滤波

相关主题
文本预览
相关文档 最新文档