当前位置:文档之家› 可编程控制器应用实验报告 交通灯控制系统设计与调试

可编程控制器应用实验报告 交通灯控制系统设计与调试

可编程控制器应用实验报告交通灯控制系统设计与调

可编程控制器应用实验报告——交通灯控制系统设计与调试

在现代城市中,交通流量的控制和调节是一个至关重要的问题。为了更好地维护城市的交通秩序,我们设计并实现了一套基于可编程控制器的交通灯控制系统,该系统使得交通灯的控制更加精准、快速、稳定。本实验报告将主要介绍该交通灯控制系统的设计、调试过程及实际应用效果。

一、设计原理

本系统使用可编程控制器(PLC)作为主控制器,采用了三色交通灯的控制方式。PLC采用了delta公司的型号,具有高性能、高可靠性、高可扩展性等优点。交通灯的控制采用冲击触点和继电器进行控制,具有开关灵敏度高、反应时间短等优点。

二、硬件设计

根据设计原理,我们采用PLC、交通灯、继电器、传感器等组成了交通灯控制系统的硬件部分。其中,PLC负责控制整个系统的运作,传感器用于检测车流量,继电器用于开关交通灯。为了确保整个系统的稳定性,我们还特意增加了电磁隔离器等硬件保护措施。

三、软件设计

在软件设计方面,我们采用了GX Works3进行程序控制的编写。通过

分析交通灯控制的逻辑流程,我们确定了相应的PLC程序,并进行了

上机实现。同时,为了实现自适应调控功能,我们还对程序进行了细

致的调整和测试。

四、应用效果

本交通灯控制系统经过了实验测试,并在一些道路上进行了实际应用。结果表明,该系统能够根据实际车辆流量实时对交通灯进行调节,并

提供了精准、高效、稳定的交通控制效果。尤其是在高峰期,该系统

表现出了极高的应用价值。

五、改进方向

尽管本交通灯控制系统已经具备一定的优点和潜力,但是仍然存在一

些改进的方向,如增加灵活性、提高自适应性、进一步优化程序等。

综上所述,本实验报告介绍了一套可编程控制器应用程序——交通灯

控制系统的设计思路、硬件构成、软件运行特点以及应用效果等内容。这一系统的成功研发证明了PLC控制技术在智能交通领域的广泛应用

和推广前景。

交通灯控制器设计实验报告

设计性实验项目名称 交通灯控制器设计 实验项目学时:3学时 实验要求:■ 必修 □ 选修 一、实验目的 1、 学习与日常生活相关且较复杂数字系统设计; 2、 进一步熟悉EDA 实验装置和Quartus Ⅱ软件的使用方法; 3、 学习二进制码到BCD 码的转换; 4、 学习有限状态机的设计应用。 二、实验原理 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又 有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很 简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北 和东西直行的情况。 要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的 发光 二 极管 ,即红 、黄 三、设计要求 完成设计、仿真、调试、下载、硬件测试等环节,在型EDA 实验装置上实现一个由一条主干道和一条乡间公路的汇合点形成的十字交叉路口的交通灯控制器功能,具体要求如下: 1、 有MR (主红)、MY (主黄)、MG (主绿)、CR (乡红)、CY (乡黄)、CG (乡绿)六盏交通灯需要控制; 2、 交通灯由绿转红前有4秒亮黄灯的间隔时间,由红转绿没有间隔时间; 3、 乡间公路右侧各埋有一个串连传感器,当有车辆准备通过乡间公路时,发出请求信号S=1,其余时间S=0; 4、 平时系统停留在主干道通行(MGCR )状态,一旦S 信号有效,经主道黄灯4 秒(MYCR )状态后转入乡间公路通行(MRCG )状态,但要保证主干道通行大于一分钟后才能转换; 5、 一旦S 信号消失,系统脱离MRCG 状态,即经乡道黄灯4秒(MRCY )状态进入MGCR 状态,即使S 信号一直有效,MRCG 状态也不得长于20秒钟;

交通信号灯控制系统设计实验报告

交通信号灯控制系统设计实验报告 设计目的: 本设计旨在创建一个交通信号灯控制系统,该系统可以掌控红、绿、黄三种交通信号灯的工作,使其形成一种规律的交替、循环、节奏,使车辆和行人得以安全通行。 设计原理: 在实际的交通灯系统中,通过交通灯控制器控制交通灯的工作。一般采用计时器或微电脑控制器来完成,其中微电脑控制器可以方便地集成多种控制模式,并且灵活易于升级。 在本设计中,我们采用了基于Atmega16微控制器的交通信号 灯控制系统。该系统通过定时器中断、串口通信等技术来实现。 由于控制的是三个信号灯的交替,流程如下: 绿灯亮:红灯和黄灯熄灭 绿灯由亮到灭的时间为10秒 黄灯亮:红灯和绿灯熄灭 黄灯由亮到灭的时间为3秒 红灯亮:绿灯和黄灯熄灭

红灯由亮到灭的时间为7秒 重复以上过程 硬件设计: 整个系统硬件设计包含ATmega16控制器、射频芯片、电源模块和4个灯组件。 ATmega16控制器采用DIP封装,作为主要的控制模块。 由于需要串口通信和遥控器控制,因此添加了RF24L01射频 芯片。该射频芯片可以很方便地实现无线通信和小型无线网络。 4个灯组件采用红、绿、黄三色LED灯与对应300Ω电阻并连。 电源模块采用5V稳压电源芯片和电容滤波,确保整个系统稳 定可靠。 软件设计: 通过ATmega16控制器来实现交通信号灯控制系统的功能。控制器开始执行时进行初始化,然后进入主循环。在主循环中,首先进行红灯亮的操作,接着在计时时间到达后执行黄灯亮的过程,然后执行绿灯亮的过程,再到计时时间到的时候执行红灯亮的过程。每个灯持续时间的计时采用了定时器的方式实现,在亮灯过程中,每秒钟进行一次计数,到达相应的计数值后,切换到下一步灯的操作。

plc交通信号灯控制实验报告

竭诚为您提供优质文档/双击可除plc交通信号灯控制实验报告 篇一:交通灯pLc控制实验报告 交通灯的pLc控制实验报告 学院:自动化学院班级:0811103姓名:张乃心学号:20XX213307 实验目的 1.熟悉pLc编程软件的使用和程序的调试方法。2.加深对pLc循环顺序扫描的工作过程的理解。3.掌握pLc的硬件接线方法。 4.通过pLc对红绿灯的变时控制,加深对pLc按时间控制功能的理解。5.熟悉掌握pLc的基本指令以及定时器指令的正确使用方法。 实验设备 1.含可编程序控制器microLogix1500系列pLc的Demo 实验箱一个

2.可编程序控制器的编程器一个(装有编程软件的pc 电脑)及编程电缆。3.导线若干 实验原理 交通指挥信号灯图 I/o端子分配如下表 注:pLc的24VDc端接Demo模块的24V+;pLc的com端接Demo模块的com。 系统硬件连线与控制要求 采用1764-L32Lsp型号的microLogix1500可编程控制器,进行 I/o端子的连线。它由220VAc供电,输入回路中要串入24V直流电源。1764系列可编程控制器的产品目录号的各位含义如下示。1764:产品系列的代号L:基本单元 24:32个I/o点(12个输入点,12个输出点)b:24V 直流输入w:继电器输出 A:100/240V交流供电 下图为可编程控制器控制交通信号灯的I/o端子的连线图。本实验中模拟交通信号灯的指示灯由24V直流电源供电。o/2-o/4为南北交通信号灯,o/5-o/7为东西交通信号灯。 实现交通指挥信号灯的控制,交通指挥信号灯的布置,控制要求如下:(1)信号灯受一个启动开关控制,当启动开关接通时,信号灯系统开始正常工作,且先南北红灯亮,东

基于plc交通灯控制系统课程设计报告

目录 第一章绪论 (2) 第二章:交通灯控制系统简介 (3) 2.1交通灯控制系统的背景 (3) 2.2 交通灯控制系统的作用 (3) 第三章:交通灯控制系统的设计 (5) 3.1、设计要求 (5) 3.1.1基本要求 (5) 3.1.2附加要求 (5) 3.2 设计思路、状态机变化图 (5) 3.3设计流程 (6) 3.4 设计步骤及程序 (7) 3.5 硬件实现及调试结果 (13) 第四章:课程设计总结 (14) 参考文献 (15)

第一章绪论 EDA是电子设计自动化(Electronic Design Automation)的缩写,。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。 EDA技术已有30年的发展历程,大致可分为三个阶段。70年代为计算机辅助设计(CAD)阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作。80年代为计算机辅助工程(CAE)阶段。与CAD相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。CAE的主要功能是:原理图输人,逻辑仿真,电路分析,自动布局布线,PCB后分析。90年代为电子系统设计自动化(EDA)阶段。 “自顶向下”的设计方法。10年前,电子设计的基本思路还是选用标准集成电路“自底向上”地构造出一个新的系统,这样的设计方法就如同一砖一瓦建造金字塔,不仅效率低、成本高而且容易出错。 所谓数字系统,是指交互式的以离散形式表示的具有存储、传输、处理信息能力的逻辑子系统的集合物。一台数字计算机就是一个最完整的数字系统。显然,数字系统的功能、性能、规模远远超出了一般中小规模数字逻辑电路的范围。基本步骤包括:系统需求分析、算法设计、算法描述、系统结构选择、具体设计、系统仿真与实验。

可编程控制器应用实验报告 交通灯控制系统设计与调试

可编程控制器应用实验报告交通灯控制系统设计与调 试 可编程控制器应用实验报告——交通灯控制系统设计与调试 在现代城市中,交通流量的控制和调节是一个至关重要的问题。为了更好地维护城市的交通秩序,我们设计并实现了一套基于可编程控制器的交通灯控制系统,该系统使得交通灯的控制更加精准、快速、稳定。本实验报告将主要介绍该交通灯控制系统的设计、调试过程及实际应用效果。 一、设计原理 本系统使用可编程控制器(PLC)作为主控制器,采用了三色交通灯的控制方式。PLC采用了delta公司的型号,具有高性能、高可靠性、高可扩展性等优点。交通灯的控制采用冲击触点和继电器进行控制,具有开关灵敏度高、反应时间短等优点。 二、硬件设计 根据设计原理,我们采用PLC、交通灯、继电器、传感器等组成了交通灯控制系统的硬件部分。其中,PLC负责控制整个系统的运作,传感器用于检测车流量,继电器用于开关交通灯。为了确保整个系统的稳定性,我们还特意增加了电磁隔离器等硬件保护措施。 三、软件设计 在软件设计方面,我们采用了GX Works3进行程序控制的编写。通过

分析交通灯控制的逻辑流程,我们确定了相应的PLC程序,并进行了 上机实现。同时,为了实现自适应调控功能,我们还对程序进行了细 致的调整和测试。 四、应用效果 本交通灯控制系统经过了实验测试,并在一些道路上进行了实际应用。结果表明,该系统能够根据实际车辆流量实时对交通灯进行调节,并 提供了精准、高效、稳定的交通控制效果。尤其是在高峰期,该系统 表现出了极高的应用价值。 五、改进方向 尽管本交通灯控制系统已经具备一定的优点和潜力,但是仍然存在一 些改进的方向,如增加灵活性、提高自适应性、进一步优化程序等。 综上所述,本实验报告介绍了一套可编程控制器应用程序——交通灯 控制系统的设计思路、硬件构成、软件运行特点以及应用效果等内容。这一系统的成功研发证明了PLC控制技术在智能交通领域的广泛应用 和推广前景。

交通灯模拟控制plc实验报告

交通灯模拟控制plc实验报告 交通灯模拟控制PLC实验报告 一、实验背景与目的 交通灯是城市道路交通管理的重要设施,安全的交通灯控制是保障行人和车辆安全通行的重要保障。本实验是以PLC控制器为核心设计的交通灯模拟控制实验,旨在通过实验学习PLC的基础知识,了解PLC在交通灯控制中的运用方式,掌握 常用的PLC控制方法,进一步提高学生的工程实践能力。 二、实验原理 1.交通灯控制方式 通常情况下,交通灯主要采用三种控制方式:定时控制、信号协调控制和应急控制。其中,定时控制是指交通灯按固定的时间间隔进行交替控制,适用于交通量少、时间规律的路段;信号协调控制是基于交通流量实时监测和协调控制交通灯,以提高交通能力和效率,适用于交通量较大、较为复杂的路段,如城市繁忙路口、高速公路入口等;应急控制是指在一定情况下强制交通灯进行跳闸或其他应急控制方法,以保障交通安全和畅通。 2.PLC控制原理 PLC,全称可编程逻辑控制器,是一种工业控制计算机, 广泛应用于现代制造业、工业自动化等领域。PLC可通过编写

相应的程序来实现对机器人、自动化生产线、传感器等设备的控制,可以有效提高生产效率和控制精度。 在交通灯控制中,PLC主要通过接收来自传感器等外部设备的输入信号和内部程序自动判断下一步操作,并通过控制输出口控制交通灯的开关状态,以实现交通灯的自动控制。 三、实验器材 PLC控制器、交通灯模拟器、计算机、连线电缆等。 四、实验步骤 本次实验的PLC控制程序采用三段式控制方式,分别为红灯亮、倒计时、绿灯亮,时间周期均为10秒。具体实验步骤如下: 1.将PLC控制器与计算机通过连线电缆连接,使得PLC控制器能够接收计算机传来的控制程序。 2.将模拟交通灯的控制线路连接至PLC控制器的输入口,将交通灯的灯泡接到PLC输出口; 3.在计算机上编写PLC控制程序,实现三段式控制方式,并将程序加载至PLC控制器; 4.打开PLC控制程序,通过模拟交通灯的测试,验证PLC 控制程序的正确性; 5.在实验结束后,关闭实验设备,并将连线电缆拔出。 五、实验结果与分析

交通灯实验报告

(三)、分块设计 1.顶层模块中,根据硬件设计,设置如下端口: 外部时钟信号:CLK 紧急状态按键:EMERGENCY 东西方向状态灯:LIGHT1 南北方向状态灯:LIGHT2 蜂鸣器控制:BELL 数码管显示信号:NUM 数码管共阴极控制:CAT_TEMP 2.在底层中,把不同功能分模块设计。 分频器模块: ?FREQUENCY:外部输入时钟为50MHz,而实验中需要频率为1Hz的时钟 信号输入,故设置此分频系数为50M的分频器,用以提供计数器模块的 时钟信号 ?输入端口:CLK外部时钟信号 ?输出端口:CLK_OUT分频后信号 ?FREQUENCY1:外部输入时钟为50MHz,对于数码管扫描来说,此频率 过于高,造成数码管显示不稳定,所以设置此分频系数为100K的分频器,来提供倒计时模块的数码管扫描时钟信号 ?输入端口:CLK外部时钟信号 ?输出端口:CLK_OUT分频后信号

计数器模块: ?COUNTER:整个过程的显示周期为100秒,所以设置此计数器的周期为 100,并加入紧急信号控制和复位信号控制,当EMERGENCY=1时,BELL=1,计数停止;当RESET信号来时,计数器回到初始状态1 ?输入端口:CLK时钟信号 EMERGENCY紧急信号 RESET复位信号 ?输出端口:COUNTER计数状态信号 BELL报警信号 倒计时模块: ?COUNTDOWN:通过输入的计数信号,来控制倒计时信号,并通过输入的 经过FREQUENCY1分频的时钟信号来控制数码管共阴极扫描信号 ?输入端口:CLK时钟信号 COUNT计数器信号 ?输出端口:CAT_TEMP共阴极控制 NUMIN数字输出 信号灯控制模块 ?LIGHT:控制东西向南北向信号灯,采用双进程来控制9个状态,当 EMERGENCY=1时,所有的红灯均亮起 ?输入端口:COUNT计数器信号 EMERGENCY紧急状态控制 ?输出端口:LIGHT1,LIGHT2信号灯输出

PLC控制交通灯的设计论文

PLC控制交通灯的设计论文 随着城市化进程的不断加速,交通拥堵问题日益突出。为了提高交通运输的效率和安全性,交通信号灯成为城市交通管理的重要工具之一。PLC(可编程逻辑控制器)作为一种高性能的控制系统,已经广泛应用在交通信号灯控制方面。本文将介绍PLC控制交通灯的设计,包括硬件组成、软件设计、系统优化等方面。 一、硬件组成 PLC控制交通灯的硬件组成主要包括三个方面:PLC 控制器、交通信号灯、控制开关箱。 PLC控制器是整个系统的核心部件。其功能就是将输入信 号转换成输出信号的一种控制装置。在交通灯控制方面,PLC 控制器主要用于控制交通信号灯的状态。 交通信号灯是普通的红、黄、绿交通信号灯,从而通过交替颜色的灯光,控制交通流量。 控制开关箱是将控制器、信号灯等设施与电源相连的装置。控制开关箱还包括控制开关,以及保险丝和接线端子等电气元件。 二、软件设计 PLC控制交通灯的软件设计主要分为两部分:PLC 程序设 计和人机界面设计。

PLC程序设计是将硬件组成的控制逻辑通过软件程序实现。在设计过程中,需要实现交通信号灯的颜色变化、时间控制等功能。 人机界面设计主要是采用计算机软件设计,实现对PLC控制器的配置、调试和监控。界面通过GUI(图形用户界面)展示,方便相应的操作与调试。 三、系统优化 PLC控制交通灯的系统优化主要分为两个方面:时间优化 和灯光优化。 时间优化主要是调整PLC控制交通灯的时间参数。根据交通流量和交通状况的不同,需要调整不同的时间参数,以实现更加精确的交通信号灯控制。 灯光优化主要是对信号灯的灯光进行优化。通过优化灯光的亮度和亮度的变化方式,可以使交通信号灯能够更好地吸引驾驶员的注意力,提高行车安全。 本文主要介绍PLC控制交通灯的设计,包括硬件组成、软件设计和系统优化等方面。通过对PLC控制交通灯的设计与实现,可以实现更加高效和精准的交通信号灯控制,从而提高城市交通的安全和便利性。

微机课设报告交通灯控制系统设计

微机课设报告交通灯控制系统设计 首先,交通灯控制系统是城市道路交通管理的重要组成部分,设计一款实用、高效的交通灯控制系统有着非常重要的意义。为此,我们小组选择了微机课设报告交通灯控制系统设计作为本次课程设计的主要内容。 本次设计的目标是设计一款基于微机控制的交通灯控制系统,实现不同方向的交通灯互相协调,避免交通拥堵和交通事故的发生。在设计过程中,我们需要通过研究交通流量和交通信号灯联动控制技术,选择合适的硬件平台和软件语言,建立合适的系统模型,编写出交通灯控制系统的程序,并进行实现和测试。 为了设计出一个可靠的系统,我们首先对交通信号灯的联动控制技术进行了系统的研究分析。交通信号灯联动控制是指通过智能交通信号灯控制系统,对各个路口的交通信号灯进行集中控制,使交通灯灯色的转换协调一致。在交通高峰期,有时候交通流量很大,这时候需要采用联动控制方式来改善拥堵情况。在交通信号灯控制设计中,我们采用交通流量计算和实时监控的方法来实现交通信号灯的联动控制。通过计算交通量的函数,我们可以有效判断交通流量情况,然后调整不同方向的交通灯灯色,从而达到优化交通流量的目的。 其次,我们需要确定合适的硬件平台和软件语言,实现交通灯控制系统的设计。在硬件平台上,我们采用了AT89C52单片机作为主控制器,它集成了许多工作模块,如中断和定时器

等,能够有效地支持控制系统的设计。在软件语言上,我们采用了C语言进行编写,它是一种高级的结构化编程语言,可读性较好,同时也能很好地嵌入到单片机程序中。 针对本次设计的目标,我们建立了一个合适的系统模型。该模型包括了计算交通流量的模块、控制交通信号灯的模块、LCD显示模块、按键控制模块等。通过这个模型,我们能够实现交通灯的控制、交通流量的计算、LCD屏幕的显示以及手动调整控制等多种功能,从而能够更好地适应不同情况下的交通变化。 最后,在实现和测试阶段,我们根据系统模型编写了相应的程序,并通过多次测试来验证其在不同情况下的稳定性。通过在实验室进行模拟测试,各项测试结果都能够达到预期的效果,证明所设计的交通灯控制系统具有很好的实用性和稳定性。 总的来说,微机课设报告交通灯控制系统设计是一个涉及到不同领域知识的复杂问题,需要在计算机科学、道路交通规律和电子控制等多个领域进行综合考虑。但是根据小组成员的共同努力,我们最终设计出来的交通灯控制系统满足了实际应用需求,具有很好的实用性和稳定性,也让我们更深入地了解到交通灯系统的操作原理,为今后进一步研发升级提供了可行性参考。

交通灯控制系统设计 实验报告

综合设计实验 项目名称:交通灯控制系统设计适用年级: 2 所属课程:微型计算机原理班级:2011级电通6C班姓名:林 学号:**********x 日期:2013/6/23

一、实验目的: 要求学生综合所学的软硬件知识,并应用基础实验所获得的实验设计技能,独立设计解决实际应用问题的系统。 二、设计要求: (1)东西方向和南北方向交替准行控制 1)使东西方向准行时,东西方向上的绿灯亮,南北方向的红灯亮,经过15秒后,使南北方向准行时,南北方向上的绿灯亮,东西方向的红灯亮,如此交替。 2)假设东西方向是主要交通干道,当在南北方向准行15秒时间未到时,检测到东西方向已聚集了8辆车,则提前使东西方向准行,南北方向禁行。 (2)特殊控制 1)当准行和禁行时间倒计时到最后3秒时,准行方向上绿灯亮的同时该方向的黄灯闪烁,而禁行方向上的红灯亮同时黄灯也闪烁。 2)在数码管上显示准行时间,并以秒倒计时。 说明:设实验箱发光二极管单元中的一个绿灯为东西方向上的绿灯,最后一个绿灯为南北方向上的绿灯;第一个红灯为东西方向上的红灯,最后一个红灯为南北方向上的红灯;第二个红灯为东西方向上的黄灯,第三个红灯为南北方向上的黄灯。 三、具体设计内容: 8255初始化:A、B、C口方式0输出 A口低4位输出位选, B口输出显示代码 C口输出灯控信号 8253初始化: T0定时20ms,方式2/3,IRQ0 中断 T2计数,方式0,IRQ7中断 时钟计数初始化: N1=25,N2=2,N3=15, 0.5S 1S 15S K=0,(0前10S/FFH后15S) 东西准行信号,南北准行信号 黄灯亮,黄灯灭 显示秒数的个位 显示秒数的十位 N3变为非压缩BCD 码存入显示缓冲区

交通信号灯控制系统设计实验报告

十字路口交通信号灯控制系统设计 专业:应用电子技术 班级:09应电五班 *名:**0906020129 *名:***0906020115 指导教师:*** 2011.6.11

目录 摘要…………………………………………………….……….3. 一、绪论 (4) 二、PLC 的概述 (5) 2.1、概述 (5) 2.2、PLC的特点 (5) 2.3、PLC的功能 (5) 三、交通灯控制系统设计 (6) 3.1、控制要求 (6) 3.2、交通灯示意图 (6) 3.3、交通灯时序图 (7) 3.4、交通灯流程图 (7) 3.5、I/0口分配 (8) 3.6、定时器在1个循环中的明细表 (8) 3.7、程序梯形图 (10) 四、设计总结 (12) 参考文献 (12) 摘要

PLC可编程控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。据统计,可编程控制器是工业自动化装置中应用最多的一种设备。专家认为,可编程控制器将成为今后工业控制的主要手段之一,PLC、机器人、CAD/CAM将成为工业生产的三大支柱。由于PLC具有对使用环境适应性强的特性,同时具内部定时器资源十分丰富,可对目前普通的使用的“渐进式”信号灯进行精确的控制,特别对多岔路口的控制可方便的实现。因此现在越来越多的将PLC应用于交通灯系统中。同时,PLC本身还具有通讯联网的功能,将同一条道路上的信号灯组成一局域网进行统一调度管理,可缩短车辆通行等候时间,实现科学化管理。 一、绪论

(完整word版)交通灯控制电路的设计(实验报告)

交通信号灯控制电路的设计 一、设计任务与要求 1、任务 用红、黄、绿三色发光二极管作为信号灯,设计一个甲乙两条交叉道路上的车辆交替运行,且通行时间都为25s的十字路口交通信号灯,并且由绿灯变为红灯时,黄灯先亮5s,黄灯亮时每秒钟闪亮一次。 2、要求 画出电路的组成框图,用中、小规模集成电路进行设计与实现用EAD软件对设计的部分逻辑电路进行仿真,并打印出仿真波形图. 对设计的电路进行组装与调试,最后给出完整的电路图,并写出设计性实验报告。 二、设计原理和系统框图 (一)设计原理 1、分析系统的逻辑功能,画出其框图 交通信号灯控制系统的原理框图如图2所示。它主要由控制器、定时器、译码

器和秒脉冲信号发生器等部分组成。秒脉冲信号发生器是该系统中定时器和该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。 图1 交通灯控制电路设计框图 图中: Tl:表示甲车道或乙车道绿灯亮的时间间隔为25s,即车辆正常通行的时间间隔.定时时间到,Tl=1,否则,Tl=0. Ty:表示黄灯亮的时间间隔为5s。定时时间到,Ty=1,否则,Ty=0。 St:表示定时器到了规定的时间后,由控制器发出状态转换信号。它一方面控制定时器开始下一个工作状态的定时,另一方面控制着交通信号灯状态转换。 2、画出交通信号灯控制器ASM图 (1)甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车道禁止

通行。绿灯亮足规定的时间隔TL时控制器发出状态信号ST转到下一工作状态。 (2)乙车道黄灯亮乙车道红灯亮。表示甲车道上未过停车线的车辆停止通行已过停车线的车辆继续通行乙车道禁止通行。黄灯亮足规定时间间隔TY时控制器发出状态转换信号ST转到下一工作状态. (3)甲车道红灯亮乙车道绿灯亮。表示甲车道禁止通行乙车道上的车辆允许通行绿灯亮足规定的时间间隔TL时控制器发出状态转换信号ST转到下一工作状态。 (4)甲车道红灯亮乙车道黄灯亮。表示甲车道禁止同行,乙车道上未位过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔TY时控制器发出状态转换信号ST系统又转换到第1种工作状态。 交通灯以上4种工作状态的转换是由控制器进行控制的.设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如表1所示控制器应送出甲、乙车道红、黄、绿灯的控制信号。为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定控制状态和信号灯状态下,车道的运行状态: S000甲绿、乙红甲车道通行、乙车道禁止通行 S101甲黄、乙红甲车道缓行、乙车道禁止通行 S311甲红、乙绿甲车道禁止通行、乙车道通行 S210甲红、乙黄甲车道禁止通行、乙车道缓行

可编程控制器应用实训形考6--交通信号灯 PLC 控制系统的实现

交通信号灯 PLC 控制系统的实现 一、实训目的: 掌握 PLC 在实际生产中的典型应用,并能够独立进行简单控制系统的系统设计(系统配置及输入 /输出继电器地址分配、系统的 I/O 接线图、系统的流程图、控制程序的设计、编程及调试)。 二、实训要求: 选择社会生活或生产实践中某一种典型的 PLC 控制系统或产品,,并能够独立进行简单控制系统 的系统设计(系统配置及输入/输出继电器地址分配、系统的 I/O 接线图、系统的流程图、控制程序 的设计、编程及调试);设计选用西门子 S7-200 系列 PLC,对其 I/O 口进行分配,列出 PLC 控制 程序(梯形图进行截图,语句表可直接拷贝)并对程序作出解释。 三、实训内容: 应用 PLC 控制交通灯各灯按要求亮灭,并通过七段 LED 数码管对红灯点亮时间进行倒计时显示,并且可以重复循环。按下起动按钮交通灯开始工作,南北向红灯亮起并维持 10s,南北向红灯工作同 时东西向绿灯亮 4s,接着以 1Hz 频率闪烁 3s 最后熄灭,绿灯熄灭同时东西向黄灯亮并维持 3s;黄 灯熄灭时东西向红灯开始公主并维持 10s,东西向红灯工作同时南北向绿灯亮4s 闪 3s 以 1Hz 频率 最后熄灭,绿灯熄灭同时南北向黄灯亮并维持 3s,黄灯熄灭时南北向红灯再次亮起……循环反复。交 通灯工作同时一个七段 LED 数码管对红灯点亮时间进行倒计时显示,先对南北向红灯倒计时,显示 9—8—7—6—5—4—3—2—1—0,然后对东西向红灯倒计时。 四、主要实训软件硬件 (1)常用电工工具、万用表等。 (2)PC 机 (3)所需设备、材料见表 1。 序号标准代号器件名称型号规格数量备注 1 PLC S7-200CN CPU226AC/DC/RLA 1 6ES 7216-28D23-0XB8 2 SF1 停止按钮LA10-2H 1 红色 3 SF2 起动按钮LA10-2H 1 绿色 4 PG1-6 指示灯24V 直流电源指示灯 6 红黄绿 5 LED 数码管LG23011AH 6 QB 隔离开关DZ47LE-3P+N 1 7 UR 电源DR-120-24 1 24V 直流电源 8 PPI 通信电缆RS232-485 1 9 XT 接线端子JX2-Y010 若干 五、实训步骤 (一)硬件设计 1.系统原理图 按下停止按钮,交通灯控制系统停止工作。交通信号灯控制 PLC 接线图如图 1 所示为交通信号 灯控制系统 PLC 接线图。

PLC实验报告(交通灯控制系统)

交通灯控制系统 一、实验目的 (1)用PLC构成十字路口交通灯控制系统。 (2)掌握程序调试的步骤和方法。 (3)掌握构建实际PLC控制系统的能力。 二、实验要求 (1)复习PLC常用指令的功能及用法。 (2)复习PLC程序设计的一般方法。 (3)根据实验要求提前编写程序,待上机验证调试修改。 三、实验环境 软件:STEP 7-Micro_WIN V40+ SP9:S7-200的编程软件 STEP 7-Micro_WIN V32指令库 硬件:THSMS-2A型PLC实验箱(西门子)、电脑、连接导线、USB-PPI 通信电缆 四、实验内容及步骤 交通灯控制系统面板图如上图所示,控制要求如下: 交通信号灯受一个总控制开关控制,当总控制开关接通时,信号灯系统开始工作。 开始工作后,南北红灯和东西绿灯同时点亮,4秒后东西绿灯开始闪烁,闪烁2秒后熄灭,熄灭同时切换成东西黄灯亮,2秒后东西黄灯和南北红灯同时熄灭,东西红灯和南北绿灯同时点亮。4秒后南北绿灯开始闪烁,闪烁2秒后熄灭,熄灭同时切换成南北黄灯亮,2秒后南北黄灯和东西红灯同时熄灭,再次切换成南北红灯和东西绿灯同时点亮。如此循环,周而复始。 当总控制开关断开时,所有信号灯都熄灭。

具体I/O分配自己考虑): (2)按照S7-200设备的要求,仔细检查连接线,先PLC电源线,再I/O 连接线。然后接通硬件电源。 (3)输入编好的PLC控制程序。 (4)运行程序,按控制要求设置各输入量,观察PLC运行情况,记录南 北、东西各灯顺序亮、灭的运行情况。调试程序直至正确为止。解:由题目要求得, ①确定I/O点数,如下表:

PLC交通灯控制实训报告

《微机原理与控制技术》 课 程 设 计 报 告 题目:____________ 姓名:____________ 学号:____________ 班级:____________ 辅导教师:____________ 日期:____________

目录 目录................................................................................................ 摘要................................................................................................ 1系统概述..................................................................................... 2 硬件设计.................................................................................... 3 软件设计.................................................................................... 总结与体会.................................................................................... 参考文献........................................................................................

交通灯控制器实验报告

........学院 《单片机原理及应用》课程设计报告 题目:交通灯控制器 班级: 学生姓名: 学号: 指导老师: 日期:年月日

摘要 当前,大量的信号灯电路正向着数字化、小功率、多样化、方便人、车、路三者关系的协调,多值化方向发展随着社会经济的发展,城市交通问题越来越引起人们的关注。 设计交通灯来完成这个需求就显的越加迫切了.为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。以下就是运用数字电子设计出的交通灯:本设计是十字路口交通灯控制,所以依据实际交通灯的变化情况和规律,给出如下需求:一个十字路口为东西南北走向。初始状态25s为南北红灯,初始状态20s东西绿灯。然后转状态1,南北红灯,东西绿灯闪烁3s,黄灯2s。再转状态2,南北红灯灭,绿灯亮,东西绿灯灭,红灯亮。再转状态3,东西红灯,南北绿灯闪烁3s,黄灯2s。再转初始状态。 关键词:信号灯电路交通控制系统EDA技术

目录 一. 绪论 (4) 引言 1.1 设计任务 (4) 1.1.1设计题目 1.1.2设计内容 1.2 系统需求 (4) 1.2.1基本要求 1.2.2发挥部分 1.3小组成员及个人完成情况 (4) 1.3.1小组成员 1.3.2个人完成情况 二.方案比较、方案设计与方案论证 (5) 2.1电源提供方案 2.2显示界面方案 三.单元模块设计 (6) 3.1单片机及其外接电路 3.2 交通灯时间显示模块 3.3按键控制模块 3.4声音报警系统 四.系统调制与分析 (10) 五.总结与心得 (14) 参考文献 (14) 附录一系统原理图 (15) 附录二元件清单 (16) 附录三系统源程序 (17)

FPGA实验报告-交通灯控制器设计

FPGA实验报告 --交通灯控制器设计 院系:电子与信息工程系 专业:通信工程 班级: 姓名: 学号: 指导教师:

一、实验任务 1、任务名称:交通灯控制器的设计 2、设计容与要求: ① 设计一个十字路口交通信号灯的定时控制电路。要求红、绿灯按一定的规律亮和灭,并在亮灯期间进行倒计时,并将运行时间用数码管/液晶显示出来。 ② 绿灯亮时,为该车道允许通行信号,红灯亮时,为该车道禁止通行信号。要求主干道每次通行时间为99秒,支干道每次通行时间为30秒。每次变换运行车道前绿灯闪烁,持续时间为5秒。即车道要由主干道转换为支干道时,主干道在通行时间只剩下5秒钟时,绿灯闪烁显示,支干道仍为红灯,以便主干道上已过停车线的车继续通行,未过停车线的车停止通行。同理,当车道由支干道转换为主干道时,支干道绿灯闪烁显示5秒钟,主干道仍为红灯。 ③ 对红、绿灯的运行时间要能比较方便的进行重新设置。 ④ 对器件进行在系统编程和实验验证。 ⑤ 用VHDL 语言对设计进行描述,设计一个测试方案,通过ISE 对设计进行仿真验证。并能够下载到实验板上调试成功。 6 写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。 2、补充功能与要求: 1.在主干道和支干道添加左转向灯; 2.各灯亮的时间及最后闪烁时间可调节; 3.紧急路况时,主干道和支干道都为红灯。 二、实验环境 1、ISE 软件一套; 2、PC 机一台。 三、设计思路 1、根据题目要求,知道整个交通灯的运行过程是周期的,所以可以设计一个总的计数器,满周期则清零; 2、将灯闪烁时间、主干道绿灯亮的时间、主干道转向灯亮的时间、支干道绿灯亮的时

交通灯信号控制器的设计实验报告

交通灯信号控制器的设计 1、实验目的 (1)学习QuartusII软件的基本使用方法。 (2)学习VHDL程序的基本结构和基本语句。 2、实验内容 欲设计一个由一条主干道和一条支干道的汇合点形成的十字交叉路口的交通灯控制器,具体要求如下: (1)主、支干道各设有一个绿、黄、红指示灯,两个显示数码管。 (2)主干道处于常允许通行状态,支干道有车来时才允许通行;当主干道允许通行亮绿灯时,支干道亮红灯;支干道允许通行亮绿灯时,主干 道亮红灯。 (3)当主、支道均有车时,两者交替允许通行,主干道每次放行45s,支干道每次放行25s,在每次由亮绿灯变成亮红灯的转换过程中,要亮 5s的黄灯作为过渡,并进行减计时显示。 3、设计思路 交通控制器拟由单片的CPLD/FPGA来实现,经分析设计要求,整个系统由8 个单元电路组成,包括: JTDKZ:交通灯状态控制 CNT45S:45秒计时 CNT05S:5秒计时 CNT25S:25秒计时 XSKZ:产生数码管段码数据,数据为BCD码 YMQ:译码器,将BCD码转为段码 CTRLS:产生数码管动态扫描信号 MUXB41:4选1数据选择器,并产生位选信号

整个控制系统中,U1为交通灯控制模块JTDKZ,此模块根据主、支道传感器信号SM、SB以及来自时基发生电路的时钟信号CLK,发出主、支道指示 灯的控制信号,同时向各定时单元、显示控制单元发出使能控制信号EN45、 EN25、EN05M、EN05B;U2、U3、U4为45s、5s、25s定时单元CNT45S、 CNT05S、CNT25S,这些单元根据SM、SB、CLK及JTDKZ发出的有关使能控 制信号EN45、EN25、EN05M、EN05B,按要求进行定时,并将其输出传送至 显示控制单元;U5为显示控制单元XSKZ,此单元根据JTDKZ发出的有关使能 控制信号EN1、EN2、EN3、EN4选择定时单元CNT45S、CNT05S、CNT25S 的输出,并将之传送至各显示译码器:U6、U7、U8、U9为译码器YMQ,它将 显示控制单元XSKZ的输出作为输入进行译码,将XSKZ的时间BCD码译码为 数码管的8位段码,并将产生的段码经MUXB41送给数码管进行动态扫描显示 的过程,动态扫描的选测信号由CTRLS产生。 在定时单元CNT45S和CNT25S的设计中,根据设计要求需要进行减计数,但本设计中仍使用的是加法计数,只是在将计数结果转换成两位BCD码时,将 计数的最小值对应转换成显示定时的最大值,计数值加1时,转换的显示值减 1,以此类推。同时,由于主、支道从亮绿灯转到亮红灯中间有5s亮黄灯的时 间过渡,因此对应的支、主道亮红灯的时间比对应的主、支道亮绿灯的时间要 多5s,考虑到此原因,CNT45S和CNT25S计数器在把计数结果转换成显示的 BCD码值时,将用于驱动绿灯的BCD码按实际定时要求转换,而将对应的用于 驱动红灯的BCD码在实际定时要求的基础上加5进行转换。 4、实验设计 1)系统原理框图 本系统总体可分为两个两个层次,即LED显示和数码管显示,核心模块为 JTDKZ产生系统的所有信号

相关主题
文本预览
相关文档 最新文档