当前位置:文档之家› 数电课程设计——四人抢答器..

数电课程设计——四人抢答器..

数电课程设计——四人抢答器..
数电课程设计——四人抢答器..

课程设计说明书

课程名称:数字电子技术、模拟电子技术

设计题目:四人抢答器

院系:电子信息与电气工程学院

学生姓名:曹光宇

学号:201002020021

专业班级:电子信息工程2010级1班

指导教师:胡万里

2012年5月25日

课程设计任务书设计题目四人抢答器

学生姓名曹光宇所在院系电子信息与电

气工程学院

专业、年级、班

电子信息工程

2010级1班

设计要求:

1、设计制作一个可容纳四个组参赛的抢答器,每组一个抢答开关;

2、设置一个抢答开始按键,同时设置抢答定时电路,且计时起点与抢答命令同步,计时终点是第一个抢答者的抢答信号到来,超时而无人抢答题目作废;

3、系统具有第一抢答信号鉴别和锁存功能,主持人发布抢答命令后,第一抢答者按下抢答键后,电路应记下第一抢答者的组别,并封锁其他各组的抢答信号,即其他任何一组的抢答信号都不会使电路响应;

4、系统采用声光指示第一抢答者:用扬声器提示第一抢答者产生;用发光二极管指指示第一抢答者。

学生应完成的工作:

设计一个四人抢答器的电路,并利用Multisim软件进行电路仿真。利用DXP 软件绘制电路原理图,并设计制作电路的PCB板。根据设计原理对电路进行安装、调试,完成课程设计工作,并提交课程设计报告。

参考文献阅读:

[1]童诗白.模拟电子技术基础[M].北京:高等教育出版社,2005.

[2]臧春华.电子线路设计与应用[M].北京:高等教育出版社,2005.

[3]邱关源,罗先觉.电路(第五版)[M].北京:高等教育出版社,2006.

[4]阎石.数字电子技术(第五版)[M].北京:高等教育出版社,2005.

[5]张阳天,韩异凡Protel DX P电路设计[M].北京:高等教育出版社,2005.

工作计划:

5月14号—16号完成原理图的设计;5月17号—28号进行PCB设计;5月21号—23号制作PCB 板;5月24号—25号电路板安装与调试,提交课程设计报告。

任务下达日期: 2012 年 5 月 14 日

任务完成日期: 2012 年 5 月 25 日

指导教师(签名):学生(签名):

四人抢答器

摘要:设计了一个四人抢答器控制电路,该电路具有计时起点与抢答命令同步,计时终点是第一个抢答者的抢答信号到来,超时而无人抢答题目作废;主持人发布抢答命令后,第一抢答者按下抢答键后,电路应记下第一抢答者的组别,并封锁其他各组的抢答信号;用扬声器提示第一抢答者产生;用发光二极管指指示第一抢答者等特点(功能)。其中,抢答电路用4D触发器(74LS175),二输入四与非门74LS00,四输入双与门(74LS21),555定时器实现;报警电路用上拉电阻,发光二极管和蜂鸣器组合来实现;显示电路用七段共阳极数码管和译码器(74LS47)来实现;时钟电路用555定时器和十进制加减计数器(74LS192)来实现。利用Multisim 10对设计的电路进行仿真,可以得到设计要求的结果。

关键词:四人抢答器;抢答信号;声光报警;定时电路

目录

1.设计背景 (1)

1.1学习并进一步了解数字电路 (1)

1.2脉冲发生电路基本构成及作用 (1)

2.设计方案 (1)

2.1任务分析 (1)

2.2方案论证 (1)

3.方案实施 (2)

3.1原理图设计 (2)

3.2电路仿真 (5)

3.3 PCB制作 (6)

3.4安装与调试 (6)

4.结果与结论 (7)

5.收获与致谢 (7)

6.参考文献 (8)

7.附件 (9)

7.1电路原理图 (9)

7.2 PCB布线图 (10)

7.3元器件清单 (10)

1. 设计背景

1.1学习并进一步了解数字电路

学习了数字电子技术基础,了解数字电路中的门电路、组合逻辑电路、触发器、时序逻辑电路和脉冲的产生与整形等知识。不仅明白它们功能而且知道它们内部的工作原理,为灵活运用这些器件打下了坚实的基础。也让我们对数字电子技术有了整体的认识,它一般包括输入电路、控制电路、输出电路、时钟电路和电源等。

通过数电实验的练习让我们更深入了解各个器件的功能,把课本上的理论知识应用于实践,更加清楚的明白了各个器件的功能和用法(包括接口技术),使我们具备了一定的数字电路设计的能力。也为各种器件的综合运用打下了基础。

1.2脉冲发生电路基本构成及作用

脉冲发生电路是数字电路系统中的核心,根据系统的要求首先确定主时钟的频率,通过调节电路中电容电阻值,来调节出我们需要的时钟脉冲。在时钟脉冲及经分频后形成各种时钟脉冲电路的作用下来控制整个系统,是整个系统都在它的控制下按一定的规律工作。本次课程设计中我们采用的是用555定时器构成的多谐振荡器提供倒计时脉冲和扫描电路的脉冲。

2. 设计方案

2.1任务分析

任务是一个四人抢答器:它分为脉冲、报警、抢答、计时、显示等几部分组成。我们小组采用了先局部后整体的模块化设计思想,根据本次课程设计所提供的器件来设计电路。首先我们要分析各个模块要实现它的功能需要哪些器件,然后再思考怎样连接这些器件。最后通过逻辑分析设计如何实现其整体功能。

2.2方案论证

1、怎样得到所需脉冲?我们采用了用555定时器构成的多谐振荡器,通过调节与它相连的电容和电阻值来得到我们想要的频率(采用555向导做的)

2、报警如何实现?由于要求是声光报警,我们采用的是发光二极管和蜂鸣器并联的形式,当有高电平时发光二极管和蜂鸣器同时工作,就实现了声光报警。3 、如何显示倒计时?我们采用七段共阳极的数码管,用74LS47来驱动数码管显示数字。4 、计时部分如何实现?首先要有一个脉冲信号(555定时器构成的多谐振荡器)调节它的频率为1HZ,来得到我们所

要的时间信号,用此信号来驱动十进制加减计数器来记录脉冲的个数(即时间),再将此时间用显示电路显示就实现了计时功能。5、抢答部分如何实现第一抢答者按下抢答键后,电路应记下第一抢答者的组别,并封锁其他各组的抢答信号?首先需要四个独立的按键开关供四位抢答者用,其次要想锁存信号就用4D触发器来实现,最后,要想达到第一抢答者按下抢答键后,电路应记下第一抢答者的组别,并封锁其他各组的抢答信号,我们采用的是四输入双与门来判断是否有人抢答,用一个555定时器构成的多谐振荡器的脉冲与四输入双与门经与门后连到4D触发器的CLK(锁存控制端),由于多谐振荡器脉冲的输入所以电路在不断的扫描是否有人抢答,直到有人抢答后CLK被锁,第一个抢答者的信号也被锁存住,再有其它信号来时4D触发器就不会工作来锁存其它信号了。

3. 方案实施

3.1原理图设计

选择合适的数字器件,multisim软件绘制各单元的逻辑电路图。

1、显示电路设计

图1显示电路

显示电路是提醒抢答者倒计时时间,并能记录下抢答的时刻,因此要将倒计时模块

连接到显示电路。显示电路是用七段译码器来驱动数码管显示倒计时时间。

2、多谐振荡器电路设计

图2 555定时器构成的多谐振荡器电路 表1 555定时器的功能表 输 入 输 出

D R ' U TH U TR

U O T D 0 1 1 1 1 × CC 2V 3> CC 2V 3< CC 2V 3< CC 2V 3> ×

CC 1V 3

> CC 1V 3

> CC 1V 3

< CC 1V 3< 低电平 低电平 不变 高电平 高电平 导通 导通 不变 截止 截止

由555定时器组成的多谐振荡器的原理是将它的THR 端口和TRI 端口短接构成施密特触发器。将VOD 与R2和C 组成的积分电路接到施密特触发器输入端构成多谐振荡器。由于电容C 上的电压在VT+与VT-之间往复振动,知电容C 的充放电时间T1和T2。T1=(R1+R2)*C*ln2,T2=R2*C*ln2,又因为T=T1+T2,振荡频率为f=1/T 。输出脉冲的占空比为q=T1/T 。由于设计要求为f=1Hz ,占空比为2/3,故R1=R2=48K 。由于本次设计提供的是47K 的电阻,故有偏差。

3、报警电路设计

图3 由LED和蜂鸣器构成的报警电路

报警电路实际连接时0端没有直接接地,而是由4D触发器的四个~Q端口进行与运算后的端口,当有抢答者抢答时,此端口变成低电平,出现声光报警。

4、时钟电路设计

图4 时钟电路

时钟电路由555定时器组成的多谐振荡器发出1Hz的时钟脉冲接至预置数为9的计数器74LS192的倒计数脉冲输入端。当倒计时为0时借位信号输出,将借位端接至双D 触发器的CLK端,从74LS74的~Q的端口输出低电平。将此低电平信号与1Hz的时钟脉冲经过与非门连接到倒计时脉冲输入端,从而封锁了倒计时模块。整个过程恰好实现

了10秒倒计时,实现了时钟设计。

5、抢答电路设计

图5 抢答电路

抢答电路的四个抢答开关接至4D触发器的四个输入端,由555定时器构成的多谐振荡器发出高频脉冲信号接触发器的脉冲输入端。当有抢答开关闭合时,触发器将其信号锁存,相应的Q端变成高电平,与其相连的LED发光。同时~Q端口输出低电平和高频脉冲信号经过两个与非门后接至4D触发器的CLK脉冲输入端,使得CLK端一直为低电平,从而封锁了抢答电路,实现了只有第一个抢答信号有效。

3.2 电路仿真

在各单元电路设计的基础上,用Multisim软件把各单元电路连接起来,画出符合软件要求的系统整体逻辑电路图。系统整体电路设计完成后,对系统整体进行仿真,验证设计的正确性。验证结果表明完全符合电路的设计要求。即主持人开关闭合时,开始进行倒计时,当有人抢答时,对应的LED发光二极管亮,并出现相应的报警。完成总体设计的要求。

图6 电路仿真图

3.3 PCB制作

1、单位采用公制单位(mm)。

2、电源线和地线采用0.6mm,如果从两个焊盘中间穿过时用0.4mm。.

3、信号线采用0.5mm。如果从两个焊盘中间穿过时用0.4mm。

4、焊盘的内径用0.9mm。外径根据需要进行修改,一般为X方向1.6 mm,Y方向2.0mm,或是X方向2.0 mm,Y方向1.6mm。

5、过孔的大小和焊盘的设置一样。

6、数码管的封装采用上下的封装形式。

7、PCB板制作的大小紧凑、美观。

PCB大小选用10*15(单位CM)(实际布线范围应比所选规格要小)。

3.4安装与调试

安装元件时极性不要接反例如发光二极管,极性电容,蜂鸣器等。还有集成器件也应注意,不要插反。电路焊制过程中不要和铜融合以防止短路,另外本次试验中时钟脉

冲开关采用六角封装,信信号脉冲开关采用四角封装,因此在安装过程中一定要与PCB 板上的电路接法相吻合。电路完成安装后,进行第一次调试,发现电路工作不稳定,仔细检查后发现电路中存在短路。认为是铜和焊锡少量接触,于是吸锡后重新焊接,进行调试后成功。进行调试发现电路工作状态不稳定,有些功能时好时坏,又经反复调试后经分析发现是2D触发器的问题,它影响倒计时,使得倒计时变快,并且使得抢答失去锁存功能。将2D触发器去掉后倒计时恢复正常,抢答功能也恢复正常。又反复检查电路无误后,经分析我们认为是2D触发器的CLR清零端没有起到清零作用,刚上电Q 端就是高电平,就出现声光报警。经多次调试后发现是接触不良造成的,只有多次调节主持人开关才能使电路正常工作。

4.结果与结论

在电路设计的过程中,用Multisim仿真各个模块的功能都正常,整体电路的的仿真也能实现,按照仿真电路画DXP的原理图,并且仿真成功。然后成功导入PCB,并正确进行布线,成功制成电路板。正确安装上元器件后进行电路调试电路出现不正常状态,经检查后发现板子上有一处短线。故障排除后电路正常工作了一次然后又不正常了。然后又进行调试发现电路工作状态不稳定,有些功能时好时坏,又经反复调试后经分析发现是2D触发器的问题,它影响倒计时,使得倒计时变快,并且使得抢答失去锁存功能。将2D触发器去掉后倒计时恢复正常,抢答功能也恢复正常。又反复检查电路无误后,经分析我们认为是2D触发器的CLR清零端没有起到清零作用,刚上电Q端就是高电平,就出现声光报警。经多次调试后发现是接触不良造成的,只有多次调节主持人开关才能使电路正常工作。最终没能够稳定的达到设计的要求的效果。

5. 收获与致谢

经过两个星期的电子技术课程设计,虽然短暂但是让我得到多方面的提高:1、这次课程设计我们采用三人一组,让我们学会了团结一致,在交流中取得进步,从问题中提高自己。2、让我学会了multisim和DXP的运用为以后的学习打下了基础。3、让我学会了科学地分析实际问题,在与小组成员成员和小组之间交流的过程中,打破了我的思维局限,开拓了视野。4、在设计和制作的过程中也培养了我认真严谨的态度,因为任何一个环节出错都有可能导致失败。5、通过数电课程设计让我对数电有了更深的认识,提高了我们的逻辑思维能力,使我们在逻辑电路的分析与设计上有了很大的进步。加深了我们对组合逻辑电路与时序逻辑电路的认识,进一步增进了对一些常见逻辑器件

的了解。6、我们在设计电路时,遇到很多不理解的东西,有的我们通过查阅参考书弄明白,有的通过网络查到,在实践中学习,让我对所学的知识有了更深刻的理解。7、让我认识到了数电的学习不是纸上谈兵,应该在实践中学习,在学习中联系实际增强自己的动手能力。

感谢老师在课程设计中给我们的指导,感谢学校为我们提供实践的机会和条件,让我明白了学习的方法和方向即理论联系实际,也让我清楚地认识到了自己的不足。

6. 参考文献

[1]童诗白.模拟电子技术基础[M].北京:高等教育出版社,2005.

[2]臧春华.电子线路设计与应用[M].北京:高等教育出版社,2005.

[3]邱关源,罗先觉.电路(第五版)[M].北京:高等教育出版社,2006.

[4]阎石.数字电子技术(第五版)[M].北京:高等教育出版社,2005.

[5]张阳天,韩异凡. Protel DXP电路设计[M].北京:高等教育出版社,2005.

7. 附件7.1电路原理图

电路原理图如图7所示。

图7 电路原理图

7.2 PCB布线图

PCB布线图如图8所示。

图8 PCB布线图

7.3 元器件清单

元器件清单如表2所示。

表2元件清单

名称功能个数 74LS192 可逆十进制计数器 1

74LS47 七段译码器 1 共阳数码管数码显示 1 555定时器定时 2 发光二极管红、绿 6 74LS175 4D触发器 1

74LS74 2D触发器 1

74LS00 二输入四与非门 1

74LS21 四输入二与门 1

电容 10nF 3 10μF 1

电阻 47K 4 1K 1 100Ω 6

六脚开关开关 6 蜂鸣器报警 2

指导教师评语:

课程设计报告成绩:,占总成绩比例: 40%

课程设计其它环节成绩:

环节名称:原理图设计与仿真,成绩:,占总成绩比例: 20%环节名称:PCB设计,成绩:,占总成绩比例: 20%环节名称:电路安装调试,成绩:,占总成绩比例: 20%总成绩:

指导教师签字:

年月日

本次课程设计负责人意见:

负责人签字:

年月日

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

4人抢答器电路设计方案

电工学实验A(下)实验报告 4人竞赛抢答器的设计 学院名称:材料科学与工程 班级:10级4班 姓名:XXX 学号:1810004XX 成绩: 2013 年 1 月 12 日

目录 第一篇实验设计任务介绍 (3) 1.1设计题目 (3) 1.2实验目的 (3) 1.3实验内容 (3) 1.4实验要求 (4) 第二篇设计背景 (5) 第三篇设计原理 (5) 3.1抢答器的主要功能简介 (5) 3.2抢答器工作原理 (7) 3.2.1 74LS175原理介绍 (7) 3.2.2 74LS00原理介绍 (9) 3.2.3.555原理介绍 (11) 第四篇设计电路图介绍 (14) 4.1电路设计图 (14) 4.2.元件清单 (15) 第五篇心得体会 (15)

第一篇实验设计任务介绍 1.1设计题目 数控增益放大器的设计 1.2实验目的 1、掌握面包板搭接电路技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 1.3实验内容 1、设计任务: 设计制作一个可容纳四组参赛的数字式抢答器。 2、设计要求: (1)每组设置一个抢答按钮,供抢答者使用; (2)电路具有第一抢答信号的鉴别和锁存功能; (3)在主持人将系统复位并发出抢答指令后,若有参赛者按抢答开关,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别; (4)同时电路应具备自锁功能,使别组的抢答开关不起作用; (5)要求电路主要选用中规模TTL或CMO S集成电路。 3、设计要点: (1)抢答控制器:竞赛抢答器的核心,当任意一位参赛者按下开关时,抢答控制器立刻接受该信号,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别。 与此同时,封锁其他参赛者的输入信号,这就要求抢答器的分辨能力高(CP

电子抢答器数电课程设计

设计题目:智力竞赛电子抢答器 设计要求及技术指标: 1、抢答器电路分为抢答电路设计和必答电路设计。 2、抢答电路设计具体要求: (1)抢答组数分为四组,序号为1~4。 (2)优先判断与指示电路设计。 (3)主持人清除上次抢答结果的电路设计。 (4)主持人给选手打分电路与选手得分累计显示电路设计。 3、必答电路设计具体要求: (1)30秒必答倒计时电路设计。 (2)超时短暂报警。 第 1 章电路设计简介 1 原理电路设计: 1.1 电路总体工作框图 图1:课程设计的总体框图

整个电路框图如上图所示,主要可分为三个部分,第一部分为四路选手抢搭电路,这部分电路主要采用了四个74LS595来实现四位选手仅选可选通一路的功能实现,并结合使用多个四路与非门(即74LS10)来实现其对计时电路的控制。第二部分为计时电路,其通过两个级联的74LS161与555定时器来实现计时功能,并通过其对于声音警示电路控制。第三部分为选手计分电路,需用了可加可减的74LS192来实现对于选手的加减积分功能。整个电路在学校提供的开发板上,其中所需使用的LED灯、按钮按照开发板作相应的调整。 第 2 章硬件电路的组成 1电路工作原理 当第一位选手按下按钮,74LS373锁存器构成的选通电路就会将低电平传至二极管led的负极,此时若有其他选手再按下按钮,其会导致74LS373处于锁存数据状态,从而使已经亮的小灯不会受到其他选手按钮的影响,另一方面已经选通一路会通过锁存器阻止其他路数据的传入。另一方面四位按钮通过控制74LS161来实现对计时电路的控制,以使得当有选手按下按钮时计时才开始。 计时电路由两个异步清零的模十计数器构成,对其清零通过对于其清零端与使能端置低电平完成,而两位已内置好74LS48的数码管通过与74LS161的输出管脚来实现时间的显示。因为电路要求30秒计时,所以使用计时十位的74LS161的低两位作为是否到达30s的判断信号,当Q1、Q0均为1的时候就是到达30s,此时通过与非门输出来控制扬声器以及停止计时。 选手计分电路通过使用可加可减的74LS192来完成,当其5管脚为上升沿是,其数值加一;而当4管脚为上升沿时,其数值减一。而上升的产生则通过使用电源、地与一个按钮完成。选手分数的显示通过使74LS192的输出管脚与已内置好74LS48的数码管相连来完成。 总体电路的设计思路如上,后期搭建电路过程中依据实际情况做了小幅调整,当整体思路未变。 1.1总体电路原理图如下:

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

数电课程设计抢答器

数电课程设计——抢答器 1220223 16 左杉2014.6.25 设计目的 掌握四人智力竞赛抢答器电路的设计方法。 设计任务与要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮S0 ~ S4表示。,按钮的编号与选手的编号对应。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始控制开关S。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 一.抢答器的基本功能 1.设计一个抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个开关表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,并且给出出声响提示,封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。 二.使用元件 芯片:74ls75,74ls147,74ls48,74ls08,74ls04,74ls00 其他器件:5个单刀单掷开关,一个7段数码管,一个蜂鸣器,一个三极管,导线若干。 三.具体原理为 1)抢答器电路 该部分主要是由74LS75实现的,此元件是低端有效。当四个选手抢答时,输入的信号为“1”,用74LS20将这四个选手在75芯片对应的非输出端与主持人的主控开关相与非,接入75的使能端(E0/1和E2/3),这样就通过“0”,把75锁定,完成锁定功能。

数字逻辑电路课程设计抢答器

西安邮电学院 数字电路课程设计报告书 ——数字抢答器 学院名称:电子工程学院 学生姓名: 专业名称: 班级: 实习时间: 数字电路课程设计 ------------数字抢答器 一、课程设计题目 数字式抢答器 二、设计任务和要求 1.抢答器同时可供4路参赛选手同时抢答,分别用4个按钮S0~S3来控制。

2. 设置一个主持人开关,用来控制抢答的开始和结束。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在数码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间为10秒。当主持人启动"开始"键后,定时器采用倒计时计数到0。 5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,绿灯亮,数码管上显示选手的编号和剩余抢答的时间,并保持到主持人将系统清除为止。 6. 如果定时时间已到(计数至0),有人抢答,则为超时抢答。红灯亮,并在数码管管上显示该抢答选手。 7.如果主持人未启动“开始”键,有人抢答,为提前抢答。显示其号码,此时红灯亮提示。 三、数字抢答器总体方案 1. 接通电源后,主持人将开关拨到"高电平"状态,抢答器处于禁止状态,编号显示器显示为0,定时器显示为0。此时, 若有人抢答, 为违规抢答数码管显示其编号, 并红灯警告.定时器显示不变。 2.主持人将开关置于”开始”状态,宣布"开始"抢答,抢答器工作, 定时器倒计时, 选手在定时时间内抢答时,抢答器完成, 编号锁存, 编号显示, 绿灯亮表示抢答有效。

3.若在10秒内无人抢答,10秒后抢答器自动锁定,计数器停止计数,抢答无效。如果再次抢答必须由主持人再次操作"开始"状态开关。 四、单元电路设计 1.抢答器电路的设计 (即完成锁存和显示功能) (1)抢答, 锁存电路: 在这一部分,最主要的是锁存电路,锁存电路主要由74LS75来实现,当74LS75的4,13号管角的信号为使能端,当为“0”时,它将保持原来的状态: 74LS75的管脚图和真值表: 有一组队员按下开关后,Q1,Q2,Q3,Q4中有一个信号为0,则它们四个通过与门后的信号为0,接入E12和E34,7475实现锁存功能,保持状态不变。 对于译码电路,当那个队员抢上后,要显示他的组号,必须把次信号转换为二进制代码。其关系为: 由上真值表知: A= ~Q0 + ~Q2; B= ~Q1+ ~Q2;

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

数电课程设计(抢答器)

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 多路数字定时抢答器设计 初始条件: 本课程设计,要求用集成电路:74LSl48,74LS279,74LS48,74LSl92,NE555,74LS00,74LSl21和其它器件等,实现八路定时抢答功能。用蜂鸣器作声电器件,工作电源Vcc为+5V。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周内完成对多路数字定时抢答器的设计、仿真、装配与调试。 2、技术要求: ①可同时供8名选手(或代表队)参赛,其编号分别是0到7,各用一个抢答按钮,按钮的 编号与选手的编号相对应。给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 ②抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存, 并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。 优先抢答选手的编号一直保持到主持人将系统清零为止。 ③抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当主持人启 动“开始”键后,要求定时器立即进行减计时,并用显示器显示,同时扬声器发出短暂的声响,声响持续时间0.5秒左右。 ④参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号 和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,系统进行短暂的报警,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示00。 ⑤确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出 总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

8路数字抢答器课程设计报告

学号: 课程设计 题目八路数字抢答器 学院信息工程学院 专业通信工程 班级 姓名 指导教师 2010年 7月 9日

课程设计任务书 题目:八路数字抢答器 起始条件:要求对数字电路里555电路的运用有所了解,同时熟悉计数电路和译码电路的运用,还要能够运用Multisim软件进行电路的仿真。 要求完成的主要任务: 1.运用数字电路设计一个能够满足特定要求的八路抢答器 2.绘制电路原理图并进行仿真,要求在报告中画出正确的波形。3.按照所画的原理图,在仿真的基础上焊接实物并进行调试。 时间安排: 编号 任务时间 1 查资料、原理图设计3天 2 仿真电路并修改 3天 3 实物焊接与调试5天 4 报告撰写 2天 5 答辩1天 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 课程设计任务书 (2) 摘要 (4) Abstract (5) 一、实验目的 (6) 二、设计要求与内容 (6) 三、设计及原理 (7) 3.1 总体方案设计 (7) 3.1.1 设计思路 (7) 3.1.2 总电路框图 (7) 3.2 各模块设计方案及原理说明 (8) 3.2.1 抢答电路 (8) 3.2.2 倒计时电路 (12) 四、电路仿真 (13) 4.1 抢答电路 (13) 4.2 倒计时电路 (15) 五、实验结果及分析 (17) 六、收获、体会和建议 (19) 附录 (21) 1.总电路图 (21) 2. 元件引脚图 (22) 3.元器件清单 (24) 主要参考文献 (25)

摘要 抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用Multisim11完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 关键词: 电子设计自动化;数字电子技术;抢答器;仿真

数字电子课程设计_4路抢答器课程设计报告

一、设计题目 题目:四人智力竞赛抢答器 二、设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)可用石英晶体振荡器或者555定时器产生频率为1H z的脉冲信号,作为定时计数器的CP信号。 三、原理电路设计: 1、方案比较; 方案一: 抢答电路:使用74ls175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74ls175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74ls148作为编码器,对输入的型号进行编码,输出4位的BCD码,再将这四位的BCD码输入共阴数码管里显示出抢答者的编号。 主持人电路:;利用74ls190计数器作为倒计时的芯片,当主持人按下抢答按钮时,74ls190被置九,同时将显示上次抢到题目的选手编号的数码管清零,并开始倒计时,,并通过74ls48编码器将即时时间进行编码,并送到7段共阴数码管,显示此时的时间。假如在9秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 方案二: 锁存电路采用CD4042来触发,如果用CD4042,则可以用低电平触发,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,CD4042 立即被锁存,同 RC端来将时蜂鸣器鸣叫1s,这时抢答无效。此外当倒计时到0时,利用借位0 锁存器的信号置零。而不是像方案一那样使用max/min端。

数电课程设计报告-抢答器

课程设计报告 题目数字抢答器设计 课程名称数字电子技术院部名称龙蟠学院 专业自动化 班级M11自动化学生姓名王栋明 学号1121112015指导教师赵国树 金陵科技学院教务处制

目录 第一章设计要求 (5) 第二章总体参考方案 2.1 总体参考方案框图 (5) 2.2 总体参考时序逻辑 (6) 第三章单元电路设计 3.1 定时电路 3.1.1 电路设计 (6) 3.1.2 元件说明 (7) 3.2 开关阵列电路 3.2.1 电路设计 (8) 3.3 门控电路 3.3.1 电路设计 (8) 3.2.2 元件说明 (9) 3.4 编码电路 3.4.1 电路设计 (11) 3.4.2 元件说明 (12) 3.5 报警电路 3.5.1 电路设计 (13) 3.5.2 元件说明 (13) 3.6 显示电路

3.6.1 电路设计 (13) 3.6.2 元件说明 (13) 第四章整体电路图 (15) 第五章各部分功能的实现 5.1 按下S1启动定时30S,开始抢答,LED灯亮 (15) 5.2 某位选手抢答有效 (16) 5.3 清零、灭灯、复位 (16) 第六章心得体会

第一章设计要求 1. 数字抢答器应具有数码锁存、显示功能,抢答组数分为八组,即序号0、1、 2、3、4、5、6、7,优先抢答者按动本组开关,组号立即锁存到LED显示器上,同时封锁其它组号。 2. 系统设置外部清除键,按动清除键,LED显示器自动清零灭灯。 3. 数字抢答器定时为30秒,启动起始键后,要求:①30秒定时器开始工作; ②蜂鸣器要短暂报警;③发光二极管亮灯。 4. 抢答者在30秒内抢答,抢答有效,终止定时;30秒定时到,无抢答者本次抢答无效,系统短暂报警,发光二极管灭灯。 第二章总体参考方案 2.1、总体参考方案框图 2.2、总体参考时序逻辑 它包括定时电路、门控电路、译码显示电路、8线-3线优先编码器、RS锁存器和报警电路等六个部分组成。其中定时电路、门控电路、译码显示电路及8线-3线优先编码器三部分的时序配合尤为重要,当启动外部操作开关(起始键)时,定时器开始工作,同时打开门控电路,输出有效,8线-3线优先编码器等待

数字竞赛抢答器课程设计Verilog语言实现

数字竞赛抢答器课程设计Verilog语言实现

可编程器件与应用课程设计报告 姓名:XXX 学号:XXXXXXXXXX 专业班级:信息XXX 题目:数字式竞赛抢答器 指导老师:

一、绪论 背景: 随着电子技术的发展,可编程逻辑器件(PLD)的出现,使得电子系统的设计者利用EDA(电子设计自动化)软件,就可以独立设计自己的专用集成电路(ASIC)器件。可编程逻辑器件是一种半导体集成器件的半成品。在可编程逻辑器件的芯片中按一定方式(阵列形式或单元阵列形式)制作了大量的门、触发器等基本逻辑器件,对这些基本器件适当地连接,就可以完成某个电路或系统的功能。 数字式竞赛抢答器控制系统是工厂、学校和电视台等单位举办各种智力竞赛等娱乐活动中经常使用的重要基础设备之一。目前设计抢答器的方法很多,例如用传统的PCB板设计、用PIC 设计或者用单片机设计。而用Verilog可以更加快速、灵活地设计出符合各种要求的抢答器,优于其他设计方法,使设计过程达到高度自动化。本文介绍的4路数字式竞赛抢答器基于Verilog 语言、以EDA技术作为开发手段、采用CPLD (复杂的可编程逻辑器件)作为控制核心设计而成。与传统设计相比较,不仅简化了接口和控制,

也提高了系统的整体性能和工作可靠性,具有电路简单、成本低廉、操作方便、灵敏可靠等优点。意义: 数字式竞赛抢答器作为一种电子产品,早已广泛应用于各种智力和知识竞赛场合,但目前所使用的抢答器存在分立元件使用较多,造成每路的成本偏高,而现代电子技术的发展要求电子电路朝数字化、集成化方向发展,因此设计出数字化全集成电路的多路抢答器是现代电子技术发展的要求。 二、实现方案 设计要求: 1、设计一个可容纳4组参赛的数字式抢答器,每组设一个按钮,供抢答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 3、设置一个主持人“复位”按钮。 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。 5、设置一个计分电路,每组开始预置5分,由主持人记分,答对一次加1分,答错一次减1分。

(完整版)基于51单片机的4人抢答器课程设计

基于51单片机的4人抢答器设计 设计要求: 以单片机为核心,设计一个4位竞赛抢答器:同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 工作原理: 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

#include #define uchar unsigned char #define uint unsigned int uchar num; //定义中断变量,num计满20表示1秒时间到uchar num1; //十秒倒计时显示初始值 uchar flag1,flag2; //清零键及开始键按下标志位 uchar flag3,flag4=0; //定义键盘按下标志位 uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; //数码管编码

数电四人抢答器

电子技术课程设计课题:数电四人抢答器 院系:电气与电子工程学院 专业:电气信息类 班级:电气1201班 姓名:周***** 学号:12******** 武汉轻工大学 2014年6月15日

目录 绪论 (2) 一、设计任务和要求 (2) 1.1 设计任务 (2) 1.2 设计要求 (2) 二、方案设计与论证 (3) 2.1 抢答器的基本工作原理 (3) 2.2 系统框图 (3) 2.3 方案比较 (4) 三、单元电路设计 (4) 3.1 抢答器控制电路 (4) 3.2 编码器电路的设计 (5) 3.3 定时电路 (6) 3.4 复位电 (7) 3.5 报警电路 (8) 3.6 时序控制电路 (9) 3.7 译码器电路和数码管显示电路的设计 (10) 四、总电路工作原理及元器件清单 (10) 4.1 总电路原理图 (10) 4.2 元件清单 (12) 五、硬件电路的调试 (13) 5.1 仿真软件简介 (13) 5.2仿真结果 (14) 六、设计过程中的问题和解决办法 (14) 七、设计成品的优点与不足 (14) 八、结论与心得 (15)

绪论 基于数字电子技术的设计创新和产品创新看起来似乎永无止境,而且它们也的的确确在方方面面日益完善和丰富着我们每个人的日常生活和工作。随着科技的进步和社会的发展,现代电子产品设计越来越注重产品的易使用型,人机界面一定要良好。声音、图象等作为人类交往的最重要手段,也被体现在电子产品设计中。采用一颗语音芯片,让产品开口说话,可以起到强化宣传品牌、指导用户使用、故障紧急提示、娱乐等功能,使产品设计新颖实用、先声夺人、出奇制胜。 一、设计任务和要求 1.1设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 1.2设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时

数电课程设计报告《八路数字抢答器》

数字电路课程设计 数字抢答器 设计报告 姓名: 学号: 专业:电子信息工程系 指导教师: 2012年04 月18 日

八路数字抢答器 一、设计目的及任务 1.设计的目的 通过八路数字抢答器的设计实验,要求大家回顾所学数字电子技术的基础理论和基础实验,掌握组合电路、时序电路、编程器件和任意集成电路的综合使用及设计方法,熟悉掌握优先编码器、触发器、计数器、单脉冲触发器、555电路、译码/驱动电路的应用方法,熟悉掌握时序电路的设计方法。达到数字实验课程大纲所要求掌握的基本内容。 2.设计的任务及要求 (1)基本功能 ①设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参赛,他们的选号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号对应分别是S0、S1、S2、S3、S4、S5、S6、S7。 ②给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答器的开始。 ③抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持主持人将系统清零为止。(2)扩展功能 ①抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当节目支持人启动“开始”键后,要求定时器立即减计时,并用显示器显示。 ②参加选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 ③如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 二、电路设计总方案及原理框图 1. 设计思路 (1)本题的根本任务是准确判断出第一抢答者的信号并将其锁存。实现这一功能可选择使用触发器或锁存器等。在得到第一信号之后应立即将电路的输入封锁,即使其他组的抢答信号无效。同时还必须注意,第一抢答信号应该在主持人发出抢答命令之后才有效。

数字抢答器课程设计

数字抢答器 摘要 当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,那么也就必然离不开抢答器。因此抢答器是机关学校、电视台等单位开展智力竞赛活动必不可少的设备,通过抢答者的按键、数码显示等能准确、公正、直观地判断出优先抢答者。本产品采用了数字显示器直接指示,自动锁存显示结果,并自动复位的设计思想,由数字电路以及外围电路组成,分为八路抢答;在抢答同时附有声音输出接口,提示主持人此时已完成这次的抢答。不仅如此,为了方便不同场合的智力竞赛活动,为需要定时答题者提供可调计时器,无需人工参与。与其他抢答器电路相比较有分辨时间极短、结构清晰、成本低、易操作、制作方便等优点。 关键词:竞赛活动、抢答、锁存、复位、可调倒计时

目录 1 引言 (3) 2 设计目的 (3) 3 设计指标及要求 (3) 4 总体框图设计与论证 (4) 5 功能模块设计及系统工作分析 (5) 5.1功能模块设计 (5) 5.2 系统工作原理分析 (6) 5.3主要元器件功能介绍 (7) 5.3.1锁存器(74HC573) (7) 5.3.2优先编码器(74LS147) (8) 5.3.3计数器(74LS192) (9) 5.3.4显示译码器(CD4511、74LS48) (10) 6 实验器材清单 (13) 7 设计步骤及各功能电路调试 (14) 7.1 仿真 (15) 7.2 调试锁存器电路 (15) 7.3 调试编码与译码显示电路 (16) 7.4调试控制电路 (16) 7.5秒脉冲 (16) 7.6调时电路 (17) 8 心得体会 (17) 谢辞 (19) 参考文献 (20) 附录A: (21) 附录B: (22)

数电课程设计——四人抢答器

课程设计说明书 课程名称:数字电子技术、模拟电子技术 设计题目:四人抢答器 院系:电子信息与电气工程学院 学生姓名:曹光宇 学号:0021 专业班级:电子信息工程2010级1班 指导教师:胡万里 2012年5月25日

课程设计任务书设计题目四人抢答器 学生姓名曹光宇所在院系电子信息与电 气工程学院 专业、年级、班 电子信息工程 2010级1班 设计要求: 1、设计制作一个可容纳四个组参赛的抢答器,每组一个抢答开关; 2、设置一个抢答开始按键,同时设置抢答定时电路,且计时起点与抢答命令同步,计时终点是第一个抢答者的抢答信号到来,超时而无人抢答题目作废; 3、系统具有第一抢答信号鉴别和锁存功能,主持人发布抢答命令后,第一抢答者按下抢答键后,电路应记下第一抢答者的组别,并封锁其他各组的抢答信号,即其他任何一组的抢答信号都不会使电路响应; 4、系统采用声光指示第一抢答者:用扬声器提示第一抢答者产生;用发光二极管指指示第一抢答者。 学生应完成的工作: 设计一个四人抢答器的电路,并利用Multisim软件进行电路仿真。利用DXP 软件绘制电路原理图,并设计制作电路的PCB板。根据设计原理对电路进行安装、调试,完成课程设计工作,并提交课程设计报告。 参考文献阅读: [1]童诗白.模拟电子技术基础[M].北京:高等教育出版社,2005. [2]臧春华.电子线路设计与应用[M].北京:高等教育出版社,2005. [3]邱关源,罗先觉.电路(第五版)[M].北京:高等教育出版社,2006. [4]阎石.数字电子技术(第五版)[M].北京:高等教育出版社,2005. [5]张阳天,韩异凡Protel DX P电路设计[M].北京:高等教育出版社,2005. 工作计划: 5月14号—16号完成原理图的设计;5月17号—28号进行PCB设计;5月21号—23号制作PCB 板;5月24号—25号电路板安装与调试,提交课程设计报告。 任务下达日期: 2012 年 5 月 14 日 任务完成日期: 2012 年 5 月 25 日 指导教师(签名):学生(签名):

相关主题
文本预览
相关文档 最新文档