当前位置:文档之家› 篮球比赛计时器设计解读

篮球比赛计时器设计解读

篮球比赛计时器设计解读
篮球比赛计时器设计解读

课 程 设 计

课程名称 数字电子技术 课题名称 篮球竞赛计时系统 专 业 自动化 班 级 0701 学 号 10 姓 名 胡吉全 指导教师 郭照南

2010 年 5 月 24 日

湖南工程学院

课程设计任务书

课程名称:数字电子技术

题目:篮球竞赛计时系统

专业班级:自动化0701 班

学生姓名:胡吉全学号:10

指导老师:郭照南

审批:

任务书下达日期2010年5月24日星期一

设计完成日期2010年6月4日星期五

目录

第一章设计总体思路、基本原理和框图

1、设计思路 (8)

2、基本原理 (9)

3、总体设计框图 (10)

第二章单元电路设计(各单元电路图)

1、秒、分倒计数器的设计 (11)

2、显示器的驱动设计 (14)

3、节次控制电路的设计 (14)

第三章总电路设计(总电路图) (15)

第四章安装、调试步骤 (16)

第五章测试和结果分析 (17)

第六章总结与设计调试体会 (18)

第七章附录(元器件清单) (19)

第一章、设计总体思路、基本原理和框图

一、设计概要:

1、篮球竞赛计时器主要有五个功能部分:

(1)脉冲发生电路:实验箱中有自带脉冲发生器,所以在此没有重新设计此电路。

(2)12分钟倒计时:一节比赛总时间是12分钟,所以比赛开始时,时间显示为12:00,开始后以秒为单位开始倒减,直到00:00。这部分的中心芯片选用74ls192,它是十进制的加减计数器,选用减数管脚,然后以级联的方式相连,用后面芯片的借位信号当做前一芯片的减数脉冲,如此类推,即可实现时间的分、秒、时的递减。

(3)24秒倒计时:24秒是一次进攻的时间,也需要倒计时,所以跟12分钟倒计时相似,进攻开始时时间显示24,开始后总时间从24开始递减直至00,所以也可以选用74ls192来实现这个模块的功能,同样选用减数管脚和级联的方式。

(4)节次显示:一场篮球比赛总共分为四节,所以要求用四个LED分别来表示四节比赛的节数,在此可以选用D触发器来进行移位显示。

(5)警报:用二极管灯亮来表示警报,警报要求不论哪个时间倒计时到零,相应的二极管则被自动点亮,表示此时间已到,相应的本节比赛或本次进攻时间已到。当某部分时间到零时,最高位的74ls192的BO端口会出现低电平,可

用它来点亮二极管,从而起到警报作用。

二、基本原理

1、倒计时电路:在比赛工程中对时间的置数、复位、清零、暂停与继续工作均由手动完成。比赛开始之际,按复位开关,则比赛时间停在24和12:00,当比赛正式开始,再按暂停/继续开关来开始比赛,此时时间开始以秒为单位开始倒计时,当在24秒进攻时间里面有暂停需要,则等裁判吹哨同意后可按暂停/继续开关来暂停时间,时间则停在即时时间不在变化(24秒与12分钟的时间均暂停),暂停结束之后重新回到比赛,则再按暂停/继续开关来让时间继续倒计时。当24秒倒计时到零,则进攻时间结束,换另一方进攻,此时按24秒电路的复位开关使进攻时间重新置为24,再进行另一轮的进攻。12分钟的倒计时电路开始计时同24秒,不同的是在倒计到00:00时是不要复位的,而暂停则与24秒倒计时电路同时暂停,当计时到00:00,则表示一节比赛结束,当下一节比赛开始时按复位开关进行复位。

(1)暂停与继续的实现:把开关引出的信号通过锁存器,再把脉冲输出信号同时通过与非门,再通过一个非门,然后分别接到24秒与12分钟倒计时电路的最低位的减数管脚,而192需要上升沿才会减一,则开关为零电平时则可以让减数管脚停在低电平从而停止计数。还有就是当某个时间倒计时到零时也要求能自动暂停,则还要把两个倒计时电路的最高位的BO端也要同时接入与非门,这样不论是哪个时间倒计时到零或者是开关需要暂停都可以实现。

(2)复位功能的实现:24秒和12分钟都需要分别复位功能,74ls192芯片都有复位管脚,则可以设置两个复位开关与各自的复位管脚相连,由芯片的管脚功能可知,74ls192的复位管脚是低电平复位,所以当开关打在接地端时,可以对时间进行复位。

(3)清零功能实现:应为12分钟时比赛总时间,要一直倒计时到零,故不需要清零处理,而24秒则不同,因为可能总比赛时间已经结束,而最后一次的

进攻时间还有多余,此时就要用到清零功能,而清零功能实现很简单,只要用开关控制芯片的清零管脚即可。

(4)节次电路:用四个D触发器和适当的组合逻辑电路搭成四位的移位寄存器,四个LED分别接在这四个D触发器的输出Q上, 12分钟重置时,电路自动移位指示节次。

(5)警报功能的实现:在此设计中的警报即是在任意计时器计时到零是相应的LED被点亮。此时自然我们会想到显示时间的最高位的借位信号,即BO管脚,当需要借位时,BO管脚处即会出现一个下降沿信号,而前面已经讲到BO端同时会被接到暂停用的与非门,所以此时计数器将会暂停计数,则此时BO处将被置定为低电平,此时则可用这个电平来点亮LED,达到报警的作用。

三、总体设计框图

总体电路说明:

倒计时功能主要是利用192计数芯片来实现,同时利用反馈和置数实现进制的转换,以适合分和秒的不同需要。由于该系统特殊的需要,到各计时器到零时,通过停止控制电路使计数器停止计数并用LED发出警报。而节次计数是通过12分钟的重置来实现的。

第二章、单元电路设计(各单元电路图)

一、秒、分倒计时电路设计

1、24秒倒计时电路设计:

24秒的计时电路采用十进制的74LS192的级联方式来实现,首先把各个输入管脚接成相应的2和4,再把芯片的置位管脚(LOAD)接到一个开关上,用此来完成置位功能。当计时开始直至个位芯片计数到零,个位芯片的BO端会发出下降沿信号,此信号可把它用来当做十位上芯片的脉冲信号,从而使十位递减完成倒计时功能。计数器的倒计时功能。

24秒倒计时电路如图:

2、十二分倒计时电路设计

12分钟倒计时电路芯片同样采用级联方式,即低位的芯片的借位管脚接上一位芯片的脉冲输入管脚(也即减数管脚DOWN),使下级的芯片的借位脉冲成为上一级的输入脉冲,也即减数脉冲,从而达到递减功能。同时输入管脚也接成相应的初始数据12:50,此时为什么第三块芯片要接成5呢,因为12分钟的秒计时器不在是十进制计数了,而应该是60进制计数了,当时间从12:00开始,减一就必须变成11:59,所以第三块芯片必须要置成5,再从五开始减数直至零。那么第三块芯片怎么一下从0变成5呢,这就需要置位,而且是从12:00减一秒后就置位,我们知道,计数器从零减一就会变成9,此时第三块芯片的输出管脚输出的也是9,也就是1001,这就可以利用1001的D3位的1,通过取反接到第三块芯片的置位管脚进行强制置位,而一开始必须显示的零就可以通过清零管脚清零实现。

12分钟倒计时电路如下:

译码电路的功能是将“秒”、“分”计数器的输出代码进行翻译,在显示管上变成相应的数字。但本次试验,试验箱中本来带有四管脚的显示器(显示器中本身是带有译码电路的),故不用译码可直接相连进行驱动。

三、节次控制电路的设计

节次控制电路图:

这四个D触发器从左至右依次为D1、D2、D3、D4。四个D触发器级连,前一个输出送入下一个输入,用一个共同的时钟脉冲,形成同步动作。为了保证每次输出只有一位是高电平,用个或门把Q2、Q3进行或运算后,送入或非门与Q1进行运算后送回D1。

当电源刚接通、开关G没有接地,整个计时系统没有进行工作,Q1-Q4为低电平(0000状态),D=1,四个LED都不亮。合上G,接高电平,这样,当G接通时就有了一个电平的上升沿跳变,Q1=D1=1;1000状态,LED1亮,指示第一节比赛。电路进入循环状态,倒计时电路重置一次,该电路状态转换一次,实现节次自动指示。(若需开关动作,可直接四个LED被四个开关直接控制)。

第三章、总设计(总电路图)

篮球比赛计时器(课程设计)剖析

目录 摘要...................................................... I 1 总体设计思路、基本原理 (1) 1.1 设计思路 (1) 1.2 基本原理 (1) 2单元电路设计与各单元电路图 (2) 2.1 秒脉冲发生器的设计 (2) 2.2 秒、分倒计数器的设计 (2) 2.2.1 24秒倒计时电路 (2) 2.2.2 12分钟倒计时电路设计 (3) 2.3 译码器和显示器的设计 (5) 3 总设计 (6) 4 总结 (8) 参考文献 (9) 附录 (10) 附录1 (10) 附录2 (11)

简易篮球比赛计时器 摘要 本设计主要能完成:显示篮球竞赛24秒和12分倒计时功能;此计时器功能齐全,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时蜂鸣器会发出声报警信号等。本设计是脉冲数字电路的简单应用,应用七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 本电路主要有五个模块构成:秒脉冲发生器、计数器、译码显示电路、控制电路和报警电路。当控制电路的置数开关闭合时,在数码管上显示数字24和12:00,每当一个秒脉信号输入到计数器时,数码管上的数字就会自动减1,当计时器递减到零时,报警电路会发出蜂鸣信号。控制电路能直接控制计数器启动计数、暂停/连续计数、译码显示电路的显示等功能。 关键词:计数器24秒倒计译码显示电路报警电路

篮球24s计时器课程设计

数字电路课程设计报告 课程设计名称篮球比赛24s计时器设计 指导老师XXXXXX 学院水电学院 专业班级XXXXXXXXXXX1班 姓名XXXX 学号XXXXXX 联系电话XXXXXXX 日期2011-12-29

摘要 数字电子技术主要研究各种逻辑门电路、集成器件的功能及其应用,逻辑门电路组合和时序电路的分析和设计、集成芯片各脚功能.555定时器等. 随着计算机科学与技术突飞猛进地发展,用数字电路进行信号处理的优势也更加突出。 数字电子技术课程设计是数字电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 本课程设计是脉冲数字电路的简单应有,设计了篮球竞赛24秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便的实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要有以下3个部分组成,即计时模块、控制模块、以及译码显示模块。在设计计时器时,采用模块化设计思想,使设计起来更加简单、方便、快捷。此电路是一时钟产生,触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 在本课程设计任务书中对篮球竞赛24秒计时器的功能以及它的原理做了介绍。 关键字:24秒计时器七段数码管译码显示电路控制电路报警电路 一计数器概述 1.1篮球竞赛24秒计时器功能 数字电子技术在社会生活中发挥着越来越重要的作用,在生活中有着各种各样的应用。因此课程设计是数字电子技术学习中非常重要的一个环节,它将学生的理论知识和实践能力统一起来,为以后的工作做好准备。 在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛24秒计时器。此计时器功能齐全,有显示24秒倒计时的功能,同时系统设置外部操作开关,控制计时器的直

篮球比赛24秒倒计时电路的设计与制作

篮球比赛24秒倒计时电路的设计与 制作 中国工程物理研究院工学院赵应泽 龙江 一、电路组成 电路由秒脉冲发生器、计数器、译码器、显示电路、报警电路和辅助控制电路五部分组成,见图1。其整机电路如图2所示,印制板电路如图3所示。

1.秒脉冲发生器

秒脉冲产生电路由555定时器和外接元件R1、R2、c构成多谐振荡器。输出脉冲的频率为: 经过计算得到.f≈IHz,即1秒。2.计数器 计数器由两片74Lsl92同步十进制可逆计数器构成。 利用减计数利用预置数,实现计数器按8421码递减进行减计数。利用借位输出端与下一级的cP。连接,实现计数器之间的级联。

利用预置数端实现异步置数。当R。=0,且时,不管cPU 和cPD时钟输入端的状态如何,将使计数器的输出等于并行输入数据,即Q3Q2Q1Q0=D3D2D1D0。 3.译码及显示电路 本电路由译码驱动74Ls48和7段共阴数码管组成。74Ls48译码驱动器具有以下特点:内部上拉输出驱动,有效高电平输出,内部有升压电阻而无需外接电阻。 4.控制电路 完成计数器的复位、启动计数、暂停

/继续计数、声光报警等功能。控制电路由Ic5组成。Ic5B受计数器的控制。Ic5c、Ic5D组成Rs触发器,实现计数器的复位、计数和保持"24"、以及声、光报警的功能。 (1)K1:启动按钮。K1处于断开位置时,当计数器递减计数到零时,控制电路发出声、光报警信号,计数器保持"24"状态不变,处于等待状态。当K1闭合时,计数器开始计数。 (2)K2:手动复位按钮。当按下I(2时,不管计数器工作于什么状态,计数器立即复位到预置数值,即"24"。当松开K2时,计数器从24开始计数。

篮球竞赛24秒计时器设计-

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 篮球24秒记时器的设计与制作 初始条件: (1)具备显示24秒记时功能 (2)计时器为递减工作,间隔为1S (3)递减到0时发声光报警信号 (4)设置外部开关,控制计时器的清0,启动及暂停 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~6月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

篮球24S可控计时器

EDA设计基础实验课程论文 题目篮球24S可控计时器 学院通信与电子工程学院 专业班级电子101 学生姓名大彬哥 指导教师大力会 2013年6月18日

摘要 本次设计是基于FPGA的篮球计时器设计,利用Verilog HDL语言和Quartus II软件以及FPGA的实验操作平台来实现的。重点是用硬件语言Verilog HDL来描述篮球计时,偏重于软件设计。本次通过Verilog HDL语言编写一个具有显示24秒倒计时功能的计时器,计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 关键词:Verilog HDL语言 Quartus II软件篮球计时器

Abstract This design is the basketball timer design based on FPGA, using Verilog HDL language and Quartus II software and FPGA experimental platform to achieve. The focus is on using Verilog language HDL to describe time basketball, focused on the software design. Through this Verilog HDL language with a display timer of 24 seconds countdown timer, timer of 24 seconds decrease time the time interval of 1 second; timer decrease time to zero, digital display does not light, issued at the same time, photoelectric alarm signal. Keywords: Verilog HDL Quartus II basketball timer

电子技术课程设计 篮球30s计时器的设计

课程设计名称:电子技术课程设计 题目:篮球竟赛30s计时器设计 专业:电气工程与自动化 班级:电气09-2 姓名:张瑞 学号:09005040229

摘要 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛30秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是以时钟产生,触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 关键字计时器 ; 光电报警 ; 模块化

前言 人类社会已进入到高度发达的信息化社会,信息社会的发展离不开电子产品的进步。随着工业水平的进步和人民生活水平的提高,在很多领域都需要几个甚至上百个定时电路去控制多项操作,从而实现工业生产的自动化,最终提高劳动生产率促进经济的发展。定时器在实际工作中用到的场合很多,它成为今天工业控制领域、通讯设备、信息处理以及日常生活中最广泛使用的电路之一,在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒,用于各种竞赛的计时器、竞赛用定时器、数控电梯、数控机床、交通灯管理系统、各种智能医疗器械等,定时器是家用电器中的常用产品。 随着电子技术的高速发展和计算机技术的普遍应用,电子设计也越来越普遍地应用于整个电子行业中。电子设计是人们进行电子产品设计、开发和制造过程中十分关键的一步,其核心就是电子电路的设计。电子设计自动化(EDA)是在电子产品向更复杂、更高级,向数字化、集成化、微型化和低耗能方向发展过程中逐渐产生并日趋完善的电子设计方法,在这种方法中,设计过程的大部分工作(特别是底层工作)均由计算机自动完成,是电子技术发展历程中产生的一种先进的设计方法,是当今电子设计的主流。 在篮球比赛中,规定了球员的持球时间不能超过30秒,否则就犯规了。本课程设计的“篮球竞赛30秒计时器”,可用于篮球比赛中,用于对球员持球时间30秒限制。一旦球员的持球时间超过了30秒,它自动的报警从而判定此球员的犯规。 定时器的应用范围极为广泛,其中首推由555构成的定时电路。集成器件555芯片是一种模拟电路和数字电路相结合的中规模集成电路,其逻辑功能强,使用灵活,可方便组成多种逻辑功能电路,能够更加简单更加快捷的实现定时功能,满足在日常生产和生活中的要求,所以555定时器电路在各个领域的应用及其广泛,在数字电路中占有重要位置,受到人们的普遍重视。本设计的秒脉冲发生器就是用由555构成的定时电路。

篮球比赛24秒计时器

湖南工业大学 数字电路课程设计 指导老师:张学毅 学院:电气与信息工程 班级:电气工程1001 姓名:席献斌 学号:10401701008

一、制作任务 制作一个时间计时器,用于篮球赛控制时间的限时警示电路。 二、设计要求 1、具有24秒计时功能 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、在直接清零时,要求数码显示器灭灯。 4、计时器为24秒递减时,计时间隔为1秒。 5、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 三、总体参考方案

包括秒脉冲发生器、计数器、译码与显示电路、报警电路和控制电路(辅助时序控制电路)等五个部分组成。计时电路递减计时,每隔1秒钟,计时器减1。其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数器、暂停/连续计数、译码显示电路的显示与灭灯等功能。当计时器递减计时到零(既定时时间到)时,显示器上显示00,同时二极管闪亮。 设计思路:秒脉冲信号经过递减计数器,译码器,再由数码管显示出来,中间包括控制电路。 四、主要元器件原理介绍 1、共阴极数码管 数码显示器可显示系统的运行状态及工作数据,我们所选用的是发光二极管(LED)显示器,它分为两种,共阴极(BS201/202)与共阳极(BS211/212),我们所选的是共阴极,它是将发光二极管的阴极短接后作为公共极,当驱动信号为高电平时,阴极必须接低电平,才能够发光显示。共阴极数码管的外引脚及内部电路如下图:

2、七段显示译码器74LS48 驱动共阴极显示器的译码器输出为高电平有效,所以选用74LS48驱动共阴极的发光二极管显示器。 下图是74LS48外引线排列图与功能表: 74LS48工作原理:译码器输入端为二进制码,经译码器后,输出端分别与七段显示器的的输入端对应连接。⑴消隐(灭灯)输入端BI为低电平有效。当消隐(灭灯)输入端BI =0 时,不论其余输入端状态如何,所有输出为零,数码管七段全暗,无任何显示;当消隐输入端BI =1 时译码器译码。⑵灯测试(试灯)输入端LT 为低电平有效。当灯测试(试灯)输入端=0(/ =1)时,不论其余输入端状态如何,所有输出为1,数码管七段全亮,显示8。可用来检查数码管、译码器有无故障;当灯测试输入端LT =1 时译码器译码。⑶脉冲消隐(动态灭灯)输入RBI 为低电平有效。当RBI =1时,对译码器无影响;当BI =LT =1 时,若RBI =0,输入数码是十进制的零时,数码管七段全暗,不显示;输入数码不为零时,则照常显示。在实际使用中有些零是可以不显示的,如004.50 中的百位的零可不显示;若百位的零可不显示,则十位的零也可不显示;小数点后第二位的零,不考虑有效位时也可不显示。脉冲消隐输入RBI =0 时,可使不显示的零消隐。

篮球计时器-篮球24秒计时器

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

课程设计--篮球竞赛24秒计时器

课程设计--篮球竞赛24秒计时器

一、课题名称 二、内容摘要 本设计主要是完成篮球竞赛24秒计时器,显示24秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为24秒递减计时,其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于Multisim 10.0.1仿真软件和数字逻辑电路相关理论知识,并在Multisim 10.0.1下设计和进行仿真,得到了预期的结果。 关键字:计时器;数码显示器;Multisim 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

三、设计内容及要求 1.2.1基本要求 (1)显示24秒计时功能。 (2)控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器。 (4)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 四、方案论证及比较 本设计的核心部分是要设计一、 个24s倒计数器,并且对计数结果进行实时显示,同时要实现设计任务中提 到的各种控制要求,因此该系统包括秒脉冲发生电路,计数器电路,译码显示电路,控制电路和电路报警电路5部分。其中,计数器电路和控制电路时系统的主要部分。计数器电路完成24s倒计时功能,而控制电路具有直接控制计

篮球24秒计时器

电子课程设计 ——篮球24秒计时器 学院:电子信息工程 专业:电子101501 姓名: 学号:201015020131 指导教师: 2012年12月

篮球24秒计时器 一设计任务与要求 (1)有显示24秒的计时功能; (2)置外部操作开关,控制计时器的直接清零,起碇和暂停\连续功能; (3)计时器为24秒递减计时器,其间隔时间为1秒; (4)计时器递减计时到零时,数码显示器不能灭灯,就发出光电报警信号。 二、总体框图 方案一:利用VHDL语言构成的24s篮球可控计时器 方案二:由各个单元模块构成的24s篮球可控计时器

从框图可知:方案一是完全利用VHDL语言编写各个模块,然后将所有模块连接进行仿真及测试;而方案二是利用已有的芯片构成相应模块,后组合连接仿真。方案二在设计中有一定的简便性且不用编写繁琐的程序,因此,以下设计采用方案二。 篮球24秒计时器,它包括秒脉冲发生器、计数器译码显示、控制电路和报警电路五部分组成。其中计数器和控制电路是电路的主要部分。计数器完成24秒计时功能。而控制电路完成电路的直接清零、启动计数、暂时/连续计数;译码显示电路的显示与亮灯和到时间启动报警功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准。 注:(1)由于实验箱具备提供不同频率的脉冲,在具体设计时将省略秒脉冲发生器部分。 (2)为了能下载到实验箱验证结果,方案二的译码电路部分采用方案一的显示电路。 三、选择器件 (1)同步十进制双时钟加减计数器74LS192(以下简称74192) (2)四2输入与非门74LS00(以下简称7400) (3)EP1C12核心板白色按钮(PB0,PB1) (4)EP1C12核心板贴片二极管(LED3) (5)EP1C12核心板数码管(仅两位) 四、功能模块 (1)25进制减法计数器 此部分采用两个74192构成25进制减法计数器,具备置数和清零的功能。

篮球比赛24秒计时器设计报告

篮球比赛24s计时器设计 一、课程设计目的 1.学会同步十进制计数器74LS192,二进制计数器74LS161,555芯片的简单用法。 2.学习较复杂电路的设计。 3.完成自己设计的电路,锻炼学生发现并解决问题的能力。 4.掌握篮球24s计时器的组装,调试方法。 @ 5.熟悉相应中、大规模集成电路的应用及原理。 二、课程设计要求 基本要求 (1)具有显示24秒计时功能; (2)系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;(3)计时器为24秒递减计时,其计时间隔为1秒; (4)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。) 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 三、原理方框图 ,

原理方框图如图1所示: 图1 24秒计时电路原理框图 图1中包括秒脉冲发生器、减数器、分频器、显示电路和控制电路等部分组成。计时电路递减计时,每隔1秒钟,计时器减1。其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数器、暂停/连续计数、显示器、定时时间到亮灯等功能。当计时器递减计时到零(即定时时间到)时,显示器上显示00,同时发出光电报警信号。 秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太严格,电路可采用555集成电路或TTL 与非门组成的多谐振荡器构成。产生10HZ 的方波。 10HZ 方波经过分频器分频为1HZ 后,加到减数器cp 端,同时共阴极七段LED 显示器显示。下面介绍各单元电路: 1.秒脉冲发生器 ' 秒脉冲产生电路由555定时器和外接元件R1、R2、c 构成多谐振荡器。 输出脉冲的频率为: 经过计算得到.f≈10Hz ,即秒。如图2所示 显示器 192减计数 161脉冲分频 555脉冲发生器 暂停、连续 … 停止

篮球竞赛24s计时器.(DOC)

电子课程设计 ——篮球竞赛24s计时器 学院:电子信息工程学院 专业: 姓名: 学号: 指导教师: 2014年12月

目录 一、设计任务与要求----------------------------3 二、总体框图----------------------------------3 三、选择器件----------------------------------4 四、功能模块---------------------------------10 五、总体设计电路图---------------------------14 六、硬件调试---------------------------------17 七、心得与总结-------------------------------17

篮球竞赛24s 计时器 一 、设计任务与要求 1、设计一个具有显示24S 计时功能的篮球竞赛计时器。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、计时器为24S 递减计时器,其时间间隔为0.01秒。 4、计时器减计时到零时,发出报警信号。 二 、基本原理与电路框图 1. 电路框图 篮球竞赛24秒计时器的电路框图如图2-1所示。 图2-1 电路框图 2. 基本原理 (1) 24秒计时器的总体参考方案框图如图1所示。它包括秒脉冲发生器、 计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路) 等五个模块组成。其中计数器和控制电路是系统的主要模块。计数器完成24秒 计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。 十位显示 秒脉冲触发器 控制电路 计数器 计数器 报警电路 个位显示

数电课程设计-篮球24s倒计时器

课程设计报告 课题名称:篮球比赛24秒倒计时电路的设计 (Basketball 24 seconds countdown circuit design)专业:xxxxxxx 班级:xxxxxxx 学号:xxxxxxx 学生姓名:xxxxxxx 指导教师:xxxxxxx x年x月x日

课程设计目的 1、围绕课程设计的内容,培养学生查询相关资料以及文献检索的能力; 2、培养学生对以往所学知识的综合运用能力;在理解透课堂所讲知识的基础上,提高学生 的自学能力; 3、培养学生了解并逐步熟悉科学研究的整个过程,养成良好的科学态度以及实事求是、严 谨塌实的工作作风;培养学生独立分析问题和解决问题的科学研究的能力; 课程设计内容 (1)设计要求: ① 设计一个篮球比赛24秒计时器,具备显示24秒计时功能; ②计时器为递减工作,时间间隔为1S : ③设置外部开关,控制计时器的启动、暂停及清零; ④递减到零时发出声光报警 : ⑵原理方框图图: 包括秒脉冲发生器、计数器、译码与显示电路、报警电路和控制电路(辅助时序控制电路) 等五个部分组成。计时电路递减计时,每隔1秒钟,计时器减1其中计数器和控制电路是 系统的主要部分。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计 数器、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。当计时器递 减计时到零(即定时时间到)时,显示器上显示00,同时发出光电报警信号。 ⑶实际电路图: 秒脉冲 发生器 计数器 译码 显示 控制电路 报警 电路

⑷单元电路: ①8421BCD 码加法计数器模块 计数器选用汇总规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同 步加锁计数器,它采用8421码二-十进制编码,并具有直接清零、置数、加锁计数功能。 图1是74LS192外引脚及时序波形图。图中U CP 、D CP 分别是加计数、减计数的时钟脉冲输入端(上升沿有效)。LD 是异步并行置数控制端(低电平有效), CO 、BO 分别 是进位、借位输出端(低电平有效),CR 是异步清零端,D3-D0是并行数据输入殿,Q3-Q0 是输出端。 图1 74LS192外引脚及时序波形 74192的功能表见下表2所示。其工作原理是:当LD =1,CR=0时,若时钟脉冲加到U CP 端,且D CP =1则计数器在预置数的基础上完成加计数功能,当加计数到9时,CO 端发出 进位下跳变脉冲;若时钟脉冲加到U CP 端,且D CP =1,则计数器在预置数的基础上完成加计数功能。 表2 74LS192功能表

篮球24秒倒计时器报告

电子课程设计报告 题目名称:篮球竞赛30秒倒计时器 姓名: 专业: 班级: 学号: 同组人: 指导老师: 南昌航空大学电子信息工程学院 二零零八年九月

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

篮球比赛24s计时器

数字电子技术课程设计任务书院(系):信息工程系

目录 一、设计任务 (1) 1.1总设计要求 (1) 1.2个人设计任务 (1) 二、系统设计方案论述 (1) 三、各模块设计 (1) 3.1震荡分频电路 (1) 3.2 定时电路 (2) 3.2.1 功能描述 (2) 3.2.2 设计思路及实现 (2) 3.2.3 电路仿真 (3) 3.3 显示电路 (3) 3.3.1 功能描述 (3) 3.3.2 设计思路及实现 (4) 四、系统电路设计 (5) 4.1由各功能模块连成的系统电路图 (5) 4.2系统仿真图 (6) 4.3系统下载测试结果 (6) 五、总结与体会 (7)

一、设计任务 1.1总设计要求 设计一个用于篮球比赛的进攻24s计时器,要求如下: 1 计时器可以从24s递减计时,时间间隔0.1s。 2 计时器具有24s显示功能,显示精度为0.1。 3 计时器具有外部控制直接清零、启动、暂停/连续功能。 4 当按下清零键时,计时器显示00.0.当按下启动键时,计时器从24s开始递减计时工作。在计时器工作时,按下暂停/连续键,计时器停止计时,当再按下暂停/连续键时,计数器将继续倒计时工作。 5 当计时器递减计时到零时,计数器发出声光报警信号,同时显示00.0;当按下清零键时,声光报警解除。 1.2个人设计任务 设计并调试24s定时计数功能模块。构建24进制减法计数器,计数器时钟脉冲使用10hz系统脉冲,计数器置数端接入按键fuwei,实现时间预置和计时启动。同时,计数器设置暂停功能,可由外部信号控制计数暂停与开始。计数值接入显示电路进行实时倒数计数显示,当计数置减为零时,计数器计数停止,并发出警报信号,等待置数清零指令。 二、系统设计方案论述 篮球比赛进攻24s计时器主要由震荡分频电路、定时电路、声光报警电路、译码显示电路和控制电路5大部分组成。震荡分频电路是定时脉冲信号;定时电路包括时钟信号发生器、定时计数器和译码显示器;控制电路包括清零、复位、暂停/连续和限定时间到报警灯。 三、各模块设计 3.1震荡分频电路 时钟信号由试验箱提供。给74161 1Khz时钟信号,给计时器10hz时钟信号。

篮球24秒计时器课程设计报告

课程设计 题目篮球竞赛24秒计时器 学院自动化学院 专业自动化 班级自动化0902 姓名李鑫 指导教师杨莉林伟 2011 年 6 月30 日 目录 摘要 (2) ABSTRACT (3) 第1章设计任务及基本要求 (4) 1.1设计任务 (4) 1.2基本要求 (4) 第2章电路框图及工作原理 (4) 2.1电路框图 (4) 2.2 设计方案 (5) 第3章各单元电路的设计 (5) 3.1 24进制计数器的设计 (5) 3.2数码显示电路的设计 (7) 3.3秒脉冲的设计 (8) 3.4各控制开关的设计 (9) 3.5报警电路的设计 (11)

3.6整机工作原理 (12) 第4章电路仿真 (12) 第5章数字电路的连接与调试 (14) 结论............................................................................................. 错误!未定义书签。 参考文献 (16) 附录一篮球竞赛24秒计时器总电路原理图......................... 错误!未定义书签。 附录二原器件清单表 (18) 摘要 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识与实践能力相结合的重要环节,是真正锻炼学生能力的环节。为今后进行复杂的综合型电子系统的设计和调试打下基础。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机,还可以用来做为各种药丸、药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会的应用是相当普遍的。 本设计主要能完成:电路具有24秒时间显示功能;系统设置外部操作开关,控制计时器的置数、启动/连续和暂停功能;计数过程中,无论处于何种状态,当按下置数键时,计数重新开始;计时器为递减计时,其计时间隔为1秒;当计时器递减计时到零时,显示器显示00,同时发出CP 同步闪烁报警信号等。整个电路的设计借助于Proteus仿真软件以及数字电路相关理论知识,得到了预期的结果。 关键词:24秒计数报警清零暂停 ABSTRACT

24秒篮球倒计时数电实验报告

24秒篮球倒计时数电实验报告

法商学院 《数字电路课程设计》 课程设计报告 专业: 应用电子技术 班级: 应电11301 姓名: 周灵 姓名: 李雄威 指导教师:沈田

课程设计任务书 设计题目:篮球竞赛24秒倒计时器 设计任务与要求: 设计一个篮球竞赛24秒倒计时电路,该电路能实现如下功能: 1)24秒倒计时显示功能; 2)设置外部控制开关,控制计数器的重置“24”、启动和暂停功能; 3)计数器递减至0(即时间到)时,数码管显示“00”,同时发出光电报警信号。 一、电路设计原理 经过对电路功能的分析,整个电路主要由控制电路、秒脉冲信号发生器、计数器、译码器和报警电路五个部分组成。示意图如图1所示。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不是太高,故电路可采用555集成电路或由TTL与非组成的多谐振荡器构成。主体电路:24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器开始进行倒计时,逐秒倒计一之到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停止。

图1-1 24秒计时器系统设计框图 二、单元电路分析 (一)控制电路 控制电路由74LS00芯片和74LS10芯片组成,实现计数器的复位、计数和保持“24”数字显示,以及报警的功能。如图2-1-1为EWB控制电路仿真图。 图2-1-1 EWB控制电路仿真图 (1)开关A:启动按钮、复位按钮 开关A接地时,计数器保持“24”状态不变,处于等待状态; 当开关A闭合时,计数器开始计时,当计数器递减计数到零时,控制电路产生报警信号; 当开关A再次接地时,计数器立即复位到预置数值,即“24”。 (2)开关B:归零按钮 当开关B接高电平时,不管计数器显示任何数值,计数器立即归零,即“00”。(3)开关C:暂停按钮 当暂停/连续开关(开关C)暂停时,计数器暂停计数,显示器保持不变; 当暂停/连续开关(开关C)处于连续时,计数器继续倒计时计数。 (二)秒脉冲发生器 为了给计数器74LS192提供一个时序脉冲信号,使其进行减计数,本设计采用555构成的多谐振荡电路(即脉冲产生电路),其基本电路如图2-2-2。

篮球比赛计时器

电子技术课程设计 ---篮球比赛计时器

目录 一、设计任务与要求--------------------------------------------------3 二、总体框图--------------------------------------------------------3 三、器件选择--------------------------------------------------------4 1、我设计的模块选择的器件---------------------------------------4 2、器件介绍-----------------------------------------------------4 (1)十进制可逆计数器74LS192----------------------------------4 (2)二输入四与非门74LS00-------------------------------------5 (3)六反相器74LS04-------------------------------------------6 (4)RS触发器-------------------------------------------------7 (5)发光二极管------------------------------------------------7 (6)七段数码显示器--------------------------------------------7 四、功能模块--------------------------------------------------------8 1、闪灯报警电路-------------------------------------------------8 2、暂停/连续电路------------------------------------------------9 五、总体设计电路图-------------------------------------------------11 1、电路说明----------------------------------------------------11 2、电路仿真----------------------------------------------------11 3、实验总体电路------------------------------------------------12 六、硬件验证-------------------------------------------------------13 1、硬件连接及实验过程------------------------------------------13 2、硬件连接问题及相应处理--------------------------------------13 3、实验结果----------------------------------------------------13

篮球24秒倒计时器课程设计报告

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级:11通信 1班 姓名:张梦瑶 学号:20110306111 成绩: 指导老师:李海霞 开课时间:2012-2013学年二学期

一、设计题目 篮球比赛 24 秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim 软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停 /继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。 第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为 0 分,无故旷课三次总成绩为 0 分。迟到 20 分钟按旷课处理。

相关主题
文本预览
相关文档 最新文档