当前位置:文档之家› 电子技术实验教程实验实验二

电子技术实验教程实验实验二

电子技术实验教程实验实验二
电子技术实验教程实验实验二

实验二:电路元器件的认识与测量

系别:

姓名:

学号:

实验日期:

一、实验目的

1.认识电路元、器件的性能和规格,学会正确选用元、器件;

2.掌握电路元、器件的测量方法,了解它们的特性和参数;

3.了解晶体管特性图示仪基本原理和使用方法。

二、实验仪器

1.数字万用表(四位半)1台

2.晶体管特性图示仪1台

3.多功能实验箱1台

三、实验原理

在电子线路中,电阻、电位器、电容、电感和变压器等称为电路元件;二极管、稳压管、三极管、场效应管、可控硅以及集成电路等称为电路器件。本实验仅对实验室常用的电阻、电容、电感、晶体管等电子元器件作简要介绍。

(一) 电阻器

1.电阻器、电位器的型号命名方法.

2.电阻器、电位器的主要特性指标:

(1)标称阻值:

电阻器表面所标注的阻值为标称阻值。不同精度等级的电阻器,其阻值系列不同,标称

阻值是按国家规定的电阻器标称阻值系列选定,通用电阻器、电位器的标称阻值系列见表2。

(2)容许误差:

电阻器、电位器的容许误差指电阻器、电位器的实际阻值对于标称阻值的允许最大误差范围,它标志着电阻器、电位器的阻值精度。表3为精度等级与容许误差关系。

(3)额定功率:

电阻器、电位器通电工作时,本身要发热,若温度过高,则电阻器,电位器将会损坏。在规定的环境温度中允许电阻器、电位器承受的最大功率,即在此功率限度下,电阻器可以长期稳定地工作,不会显著改变其性能,不会损坏的最大

功率限度称为额定功率。

3.电阻器的规格标注方法:

由于电阻器表面积的限制,通常电阻器表面只标注电阻器的类别、标称阻值、精度等级和额定功率,对于额定功率小于0.5W的电阻器,一般只标注标称阻值和精度等级,材料类型和功率常从其外观尺寸判断。电阻器的规格标注通常采用文字符号直标法和色标法两种,对于额定功率小于0. 5 W电阻器,目前均采用色标法,色标所代表的意义如表1。

表1色标所代表的数字

颜色A第一位数

字B第二位数

C倍乘数D容许误差工作电压

黑0 0 31

棕 1 1 310 ±1%

红 2 2 310^2 ±2% 4

橙 3 3 310^3 6.3

黄 4 4 310^4 10

绿 5 5 310^5 ±5% 16

兰 6 6 310^6 ±0.2% 25

紫7 7 310^7 ±0.1% 32

灰8 8 40

白9 9 +5 -20 50

金30.1 ±5% 63

银30.01 ±10%

无色±20%

色环电阻一般为四环(普通电阻)、五环(精密电阻)两种标法。

四环电阻器:A、B环为有效数字,C环为10n,D环为精密等级。

五环色标电阻器:A、B、C三环为有效数字,D环为10n,E环为精密等级。

4.电阻器的性能测量:

电阻器的主要参数位一般都标注在电阻器一上,电阻器的阻值,在保证测试的精度条件下,可用多种仪器进行测址2也可采用电流表、电压表或比较法。仪器的测量误差应比被测电阻器允许偏差至少小两个等级。对通用电阻器,一般可采用万用表进行测量。若采用机械表测量,应根据阻值大小选择不同量程,并进行调零,使指针尽可能指示在表盘中间;测量时,不能双手接触电阻引线,防止人体电阻与被测电阻并联。若采用数字式万用表,则测量精度要高于万用表。6使用常识:

电阻器在使用前应采用测量仪器检查其阻值是否与标称值相符。实际使用时在阻值和额定功率不能满足要求时,可采用电阻串、并联方法解决。但应注意,除了计算电阻值是否符合要求外,还要注意每个电阻所承受的功率是否合适,即额定功率要比承受功率大于一倍以上,使用电阻器时,除了不能超过额定功率防止受热损坏外,还应注意不超过最高工作,否则电阻内部会产生火花引起噪声。

电阻器种类繁多,性能各有不同,应用范围也有很大差别。应根据电路不同要求选择不同种类的电阻器。在耐热性、稳定性、可靠性要求较高的电路中应选用金属膜或金属氧化膜电阻;在要求功率大、耐热性好、对无特殊要求的一般电路,可使用线绕电阻;工作频率不高的电路中,可使用碳膜电阻,以降低成本。

电阻器在替换时,大功率的电阻可替换小功率的电阻器,金属碳膜电阻可代换碳膜电阻,固定电阻器与半可调电阻器可以相互替换。

(二)电位器:

1.电位器的类型:

(1)非接触式电位器:通过无磨损的非机械接触产生输出电压,如光电、磁敏电位器。

(2)接触式电位器:通过电刷与电阻体直接接触获得电压输出。

①合金型(线绕)电位器WX :100 Ω~ 100 KΩ,用于高精度、大功率电路。

②合成型电位器:

A.合成实芯电位器WS:100Ω~10 MΩ),用于耐磨、耐热等较高级电路。

B.合成碳膜电位器WH:470Ω~4. 7 MΩ,一般电路适用。

C.金属玻璃釉电位器WI:47Ω~4. 7 MΩ,适用高阻、高压及射频电路。

③薄膜性电位器:

A.金属膜电位器WJ:10Ω~100KΩ,用于100 MHz以下电路。

B.金属氧化膜电位器WY:10Ω~100KΩ,用于大功率电路。

根据结构不同,可分单圈(旋转角度小于360°)、多圈电位器,单联、双联、多联电位器,带开关和不带开关电位器,紧锁和非紧锁电位器,抽头电位器。根据调节方式不同,分为旋转式电位器和直滑式电位器。

根据用途不同,分为普通、精密、微调、功率及专用电位器。

根据输出特性的函数关系,分为线性(X式)、指数(Z式)、对数(D式)电位器。

2.使用常识:

(1)电位器的选用:电位器的规格种类很多,选用时,不仅要根据电路的要求选择适合的.

值和额定功率,还要考虑安装调节方便及成本,电性能应根据不同的要求参照电位器类型和用途选择。

(2)安装、使用电位器:电位器安装应牢靠,避免松动和电路中的其他元器件短路,焊接时间不能太长,防止引出端周围的外壳受热变形;电位器三个引出端连线时应注意电位器旋转方向是否符合要求。

(三)电容器

1.电容器的型号命名方法:

2.电容器的分类:

(1)按介质分类:气体介质、无机固体介质、有机固体介质、电解介质。(2)按结构分类:固体、可变及微调电容器三类。

(3)按用途分类:滤波、隔直流、振荡回路、起动及消火花电容器等。

3.电容器的主要特性指标:

(1)标称容量及容许误差

J=±5% K=±10%

(2)额定工作电压:

额定工作电压指电容器长期连续可靠工作时,极间电压不允许超过的规定电压值,否则电容器就会被击穿损坏。其数值一般以直流电压在电容器上标出。(3)绝缘电阻:

电容器的绝缘电阻为电容器两端极间的电阻,或称漏电电阻。

(4)频率特性:

电容器的频率特性为电容量与频率变化的关系。为保证电容器工作的稳定性,应将电容器的极限工作频率选择在自身固有谐振频率的1/3至1/2左右。

4.电容器的规格标注方法:

(1)直标法

(2)数码表法

(3)色标法

5.电容器的性能测量:

(1)容量测量

(2)漏电测量

6.使用常识

(1)选择适当的型号

(2)合理选用标称容量及容许误差

(3)额定工作电压的选择

(4)选用绝缘电阻高的电容器

(5)在装配中,影视电容器的标志易于观察到,以便核对。同时应注意不可将电解电容等极性接错,否则会损坏甚至有爆炸的危险。

(四)晶体二极管

1.国产二极管器件型号命名方法:

国产二极管器件的型号由五部分组成,其符号与意义如表9所示

示例说明如下:3(三极管)B(NPN型锗材料)X(低频小功率管)31(序号为31)A(管子规格为A档),它是锗NPN型低频小功率管。

2.二极管性能测试:

二极管极性及性能好坏的判别可用万用表测量。当万用表旋至档时,两支表笔之间有2.8V的开路电压(红表笔正、黑表笔负)。当PN结正偏时,约有1mA 电流通过PN结,此时表头显示为PN结的正向压降。当PN结反向时,反向电流极小,PN结上反向电压仍为2.8V,表头显示为“1”。通过上述两次判断,可得出PN结正偏时红表笔接的管脚为正极。若测量值不在上述范围,说明二极管损坏。

3.使用说明:

二极管在使用时硅管与锗管不能相互代替,同类型管可代替。对于检波二极管,只要工作频率不低于原来的管子即可。对整流器,只要反向耐压和正向电流不低于原来的管子就可替换,其余管子应根据手册参数替换。

(五)晶体三极管

1、三极管的分类

(1)按半导体材料分:锗三极管和硅三极管;一般锗为PN P管,硅为N PN管。

(2)按制作工艺分:扩散管、合金管等。

(3)按功率不同分:小功率、中功率、大功率管。

(4)按工作频率分:低频管、高频管和超高频管。

(5)按用途分:放大管和开关管。

2.三极管性能测试

(1)类型判别:即NPN或PNP类型判别。若采用机械表,则利用欧姆档测量正、

反向电阻判别。采用数字万用表的两个表笔对三极管的三个管脚两两相测;若红

表笔任意接三极管一个管脚,而黑表笔依次接触另外两个管脚,表头显示超量程“1”,则该管脚为b极,且该管为NPN, 反之,若测量显示与上述相反,则该管为PNP。

电极判别:e、b、c管脚判别。

四、实验内容

1.辨认一组电阻器:辨认所给色标电阻的标称电阻及容许误差,判断其额定功率,并用数字万用表测量进行比较,将所测电阻按从小到大填入下表。

型号名称色环额定功率标称电阻容许误差测量值

RT 碳膜电阻棕、绿、

0.25W 150Ω±5% 149.1Ω

棕、金

RT 碳膜电阻橙、白、

0.25W 3.9kΩ±5% 3.857kΩ

红、金

RT 碳膜电阻棕、黑、

0.25W 1kΩ±5% 997.2Ω

红、金

0.25W 6.8kΩ±5% 6.782kΩRT 碳膜电阻兰、灰、

红、金

0.25W 10kΩ±5% 9.530kΩRT 碳膜电阻棕、黑、

橙、金

0.25W 62kΩ±5% 62.92kΩRT 碳膜电阻兰、红、

橙、金

2.辨认一组电容器

辨认所给电容的材料、标称容量及容许误差,将所读电容填入下表

型号名称直流工作电压标称容量容许误差

CD 铝电解电容50V 3.3uF ±20%

CC 高频瓷电容100V 10nF ±20%

CD 铝电解电容25V 100uF ±20%

CC 高频瓷电容100V 1nF ±20%

CD 铝电解电容25V 4.7uF ±20%

CL 涤纶等极性电容400V 12nF ±2%

3.测量一组半导体器件

用数字万用表测量晶体管参数,填入下表。

IN4004 IN4148 9011(9013) 9012 BE 结

BC 结

BE 结

BC 结

正向压降 0.5834V 0.6117V 0.6338V 0.6279V 0.6874V 0.6823V 反向压降 溢出 溢出

溢出 溢出

溢出 溢出

管子类型

硅管

NPN 硅管

PNP 硅管

4.测量晶体管电流放大倍数

a.按下图在多功能实验箱上搭接电路,经经检查无误后接通电源;

表3

b.按下表调节电位器Rw ,使集电极对公共端电压达到规定值,用电压表测量VA,VB;并计算出IB,IC,并求出放大倍数β。 测量

VC 4v 3v 2v 1v VA 0.7051 0.8560 0.9961 1.133

VB 0.5982

0.6160 0.6350 0.6382 计算

IB=(VA-VB)/RAB 0.0107 0.0240 0.0361 0.0495 Ic=(5V-VC)/Rc 1 2 3 4 β

93.45

83.33

83.10

80.08

型 号

量 值

参 数

五、实验故障及解决办法

在测量半导体器件时,发现读数为零,因为二极管反向压降溢出,然后注意带圈一极接黑表笔,另一极接红表笔,此时二极管正向接通,显示读数。

在用数字万用表测量电阻时,有时会没有读数(读数为零),但并不代表电阻阻值为零,而是量程选小了导致读数溢出,换大量程再次测量电阻阻值便能得出结果。

六、实验总结与心得体会

这次实验让我更好的认识了电阻,电容的辨认方法,性能规格和测量方法;二极管三极管的判定与测量方法;学会了如何在多功能实验箱上搭接电路,在多功能实验箱上搭接电路时,也要仔细认真,要弄清楚在面包板上如何连接,经检查无误后才能接通电源。

七、思考题:

1.能否用双手接触万用表笔测量电阻?

不能,人体会与需测得电阻并联,从而导致测得的电阻值偏小。

2.总结判断晶体管极性、管脚的方法。

NPN:采用数字万用表的两个表笔对三极管的三个管脚两两相测;若红表笔任意接三极管一个管脚,而黑表笔依次接触另外两个管脚,如果表头都显示正的压降,而黑表笔接该管脚,红表笔依次接触另两个管脚,表头显示超量程,则该管脚为b极。再将红表笔接已确定的b极,黑表笔依次接触另外两个管脚,表头显示压降较大的是e极,较小的是c极。

PNP:采用数字万用表的两个表笔对三极管的三个管脚两两相测;若黑表笔任意接三极管一个管脚,而红表笔依次接触另外两个管脚,如果表头都显示正的压降,而红表笔接该管脚,黑表笔依次接触另两个管脚,表头显示超量程,则该管脚为b极。再将黑表笔接已确定的b极,红表笔依次接触另外两个管脚,表头显示压降较大的是e极,较小的是c极。

3.总结判断晶体管好坏的方法。

二极管:一般来说,所测的二极管的正反向电阻两者相差悬殊好。若正向电阻小,反向电阻很大,就可初步断定这个二极管是好的。如果正反向电阻都为无穷大,表示其内部断线;正反向电阻都为零表示已短路。

三极管: 对于NPN型管,当红表笔接基极,黑表笔分别接集电极和发射极时,测出的两个PN结的正向电阻应为几百欧或几千欧,然后应把表笔对调再测两个PN结的反向电阻,一般应为几十千欧或几百千欧以上。然后再测量发射极和集电极之间的电阻,测完后再对调表笔测一次,两次的阻值都应在几十千欧以上,这样的三极管可以断定基本上是好的。而对于PNP型的,则将红黑表笔对调即可确定。

电子技术基础实验报告

电子技术实验报告学号: 2220 姓名:刘娟 专业:教育技术学 实验三单级交流放大器(二) 一、实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: — 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 实验前校准示波器,检查信号源。 按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 调整RP2,使V C=Ec/2(取6~7伏),测试V B、V E、V b1的值,填入表3-1中。 ~ 表3-1 … 输入端接入f=1KHz、V i=20mV 的正弦信号。 分别测出电阻R1两端对地信 号电压V i 及V i ′按下式计算 出输入电阻R i : 测出负载电阻R L开路时的输出电压V∞,和接入R L(2K)时的输出电压V0 , 然后按下 式计算出输出电阻R ; 将测量数据及实验结果填入表3-2中。 V i (mV)Vi′(mV)R i ()V ∞ (V)V (V)R () 调整 R P2测量 V C (V)Ve(V)Vb(V)Vb1(V)

[ 输入信号不变,用示波器观察正常工作时输出电压V o 的波形并描画下来。 逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真的波形描画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i ,或将R b1由100K Ω改为10K Ω,直到出现明显失真波形。) 逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真波形描画下来,并说明是哪种失真。如果R P2=1M 后,仍不出现失真,可以加大输入信号V i ,直到出现明显失真波形。 表 3-3 调节R P2使 输出电压波形不失 真且幅值 为最大(这 时的电压 放大倍数 最大), 测量此时 的静态工作点V c 、V B 、V b1和V O 。 表 3-4 ` 五、实验报告 1、分析输 入电阻 和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc 的值在6-7V 之间,此时使用万用表。接入输入信号1khz 20mv 后,用示波器测试Vi 与Vi ’,记录数据。用公式计算出输入电阻的值。在接入负载RL 和不接入负载时分别用示波器测试Vo 的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工作点过高,波形会出现饱和失真,即正半轴出现失真。 实验四 负反馈放大电路 一、 实验目的 1、熟悉负反馈放大电路性能指标的测试方法。 2、通过实验加深理解负反馈对放大电路性能的影响。 二、实验设备 、 阻值 波 形 何种失真 正常 不失真 R P2减小 饱和失真 R P2增大 ? 截止失真 V b1 (V) V C (V) V B (V) V O (V)

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

电子技术实验

半导体器件的测试实验 实验组号__ __学号姓名 实验日期成绩____ ___指导教师签名 一、实验目的 学会用万用表测试二极管、三极管的性能好坏,管脚排列。 二、实验器材 1.万用表1只(指针式)。 2.二极管、三极管若干。 三、注意事项: 1.选择合适的量程,使万用表指针落在万用表刻度盘中间的位置为佳。 2.测试电阻前应先调零。 3.测量时不要同时用手接触元件的两个引脚。 4.测量完毕时应将万用表的转换开关转向off位置或交流最高电压档。 5.不能用万用表测试工作中的元件电阻! 四、实验内容 1.半导体二极管的测试 ◆半导体二极管的测试要点: 用指针式万用表测二极管的正反向电阻,当测得阻值较小的情况下,黑笔所接的极是二极管的正极。 (1)整流二极管的测试 将万用表置于R?100Ω或R?1kΩ电阻档并调零,测量二极管的正、反向电阻,判断其极性和性能好坏,把测量结果填入表1中。 (2 将万用表置于R?10kΩ电阻档并调零,测量二极管的正、反向电阻,判断其极性和性能好坏,把测量结果填入表2中。 2.半导体三极管的测试 ◆半导体三极管的测试要点: 将万用表置于R?100Ω或R?1kΩ电阻档并调零。 ①首先判基极和管型 ?黑笔固定某一极,红笔分别测另两极,当测得两个阻值均较小时,黑笔所接的极是基

?红笔固定某一极,黑笔分别测另两极,当测得两个阻值均较小时,红笔所接的极是基极,所测的晶体管是PNP管。 ②其次判集电极和发射极 ?对于NPN管:用手捏住基极和假设的集电极(两极不能短接),黑笔接假设的集电极,红笔接假设的发射极,观察所测电阻的大小。然后将刚才假设的集电极和发射极对调位置,再重测一次,当测得电阻值较小时,黑笔所接的是集电极,另一电级是发射极?对于PNP管:用手捏住基极和假设的集电极(两极不能短接),红笔接假设的集电极,黑笔接假设的发射极,观察所测电阻的大小。然后将刚才假设的集电极和发射极对调位置,再重测一次,当测得电阻值较小时,红笔所接的是集电极,另一电级是发射极。(1)将万用表置于R?100Ω或R?1kΩ电阻档并调零,判别三极管的引脚排列、管型和性能好坏,把测量结果填入表3中。 (2)将万用表置于h fe档(×10Ω档并调零),测量三极管的β值,把测量结果填入表4中。 五、实验分析 1.用万用表的R?100Ω或R?1kΩ电阻档测量同一只二极管的正反向电阻值时,测量值为什么不同? 2.为什么不能用R?1Ω或R?10kΩ电阻档测量小功率晶体管?

电子技术实验指导书

实验一常用电子仪器的使用方法 一、实验目的 了解示波器、音频信号发生器、交流数字毫伏表、直流稳压电源、数字万用电表的使用方法。二实验学时 2 学时 三、实验仪器及实验设备 1、GOS-620 系列示波器 2、YDS996A函数信号发生器 3、数字交流毫伏表 4、直流稳压电源 5、数字万用电表 四、实验仪器简介 1、示波器 阴极射线示波器(简称示波器)是利用阴极射线示波管将电信号转换成肉眼能直接观察的随时间变化的图像的电子仪器。示波器通常由垂直系统、水平系统和示波管电路等部分组成。垂直系统将被测信号放大后送到示波管的垂直偏转板,使光点在垂直方向上随被测信号的幅度变化而移动;水平系统用作产生时基信号的锯齿波,经水平放大器放大后送至示波管水平偏转板,使光点沿水平方向匀速移动。这样就能在示波管上显示被测信号的波形。 2、YDS996A函数信号发生器通常也叫信号发生器。它通常是指频率从0.6Hz至1MHz的正弦波、方波、三角波、脉冲波、锯齿波,具有直流电平调节、占空比调节,其频率可以数字直接显示。适用于音频、机械、化工、电工、电子、医学、土木建筑等各个领域的科研单位、工厂、学校、实验室等。 3、交流数字毫伏表 该表适用于测量正弦波电压的有效值。它的电路结构一般包括放大器、衰减器(分压器)、检波器、指示器(表头)及电源等几个部分。该表的优点是输入阻抗高、量程广、频率范围宽、过载能力强等。该表可用来对无线电接收机、放大器和其它电子设备的电路进行测量。 4、直流稳压电源: 它是一种通用电源设备。它为各种电子设备提供所需要的稳定的直流电压或电流当电网电压、负载、环境等在一定范围内变化时,稳压电源输出的电压或电流维持相对稳定。这样可以使电子设备或电路的性能稳定不变。直流电源通常由变压、整流、滤波、调整控制四部分组成。有些电源还具有过压、过流等保护电路,以防止工作失常时损坏器件。 6、计频器 GFC-8010H是一台高输入灵敏度20mVrms,测量范围0.1Hz至120MHz的综合计频器,具备简洁、高性能、高分辨率和高稳定性的特点。 5、仪器与实验电路的相互关系及主要用途:

电工技术与电子技术实验教程讲诉

学生实验守则 一、参加实验时应衣冠整洁。进入实验室后应保持安静,不要大声喧哗和打闹,妨碍他人学习和实验。不准吸烟,不准随地吐痰,不准乱扔纸屑与杂物。 二、进行实验时必须严格遵守实验室的规章制度和仪器操作规程。爱护仪器设备,节约实验器材,未经许可不得乱动实验室的仪器设备。 三、注意人身安全和设备安全。若仪器出现故障,要立即切断电源并立即向指导教师报告,以防故障扩大。待查明原因、排除故障之后才可继续进行实验。 四、要以严格、认真的科学态度进行实验,结合所学理论,独立思考,分析研究实验现象和数据。 五、实验完毕后必须收拾整理好自己使用的仪器设备,保持实验台整洁,填写实验仪器使用记录。在归还实验仪器后,才能离开。 六、违反实验室规章制度和仪器设备操作规程造成事故、导致仪器设备损坏者,将视情节轻重按实验室设备管理制度处理及赔偿。

电工电子实验室安全制度 一、每个实验室要有专人担任安全员,负责本室的各项安全工作。并定期进行安全检查,发现问题及时向领导和有关部门汇报。 二、实验室总电源应有专人负责,各分室电源应有指示灯指示。 三、实验室内不准吸烟。要经常检查室内电源设备状况。各种用电设备使用完毕后要断开电源。 四、实验室钥匙不能出借他人,实验室所有仪器设备的配置、维修、拆卸等都必须做好记录并严格遵守操作规程,非经有关人员许可不得擅自动用。 五、每个实验室要配备必要的消防器材(灭火器、灭火栓),消防器材必须定期检查更换。任何人不得随意搬动、拆卸消防器材。 六、工作人员离开时必须断开室内电源、水源,关好门窗。 匪警电话 110 火警电话 119 校保卫处电话 83209110

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

《模拟电子技术实验》实验指导书

北方民族大学 Beifang University of Nationalities 《模拟电子技术实验》课程指导书 北方民族大学教务处

北方民族大学 《模拟电子技术实验》课程指导书 编著杨艺丁黎明 校审杨艺 北方民族大学教务处 二〇一二年三月

《模拟电子技术实验》课程是工科类大学二年级学生必修的一门实践类课程。实验主要设备包括模拟电子技术实验箱、信号发生器、示波器、数字万用表、交流毫伏表和直流电源等。 课程教学要求是:通过该课程,学生学会正确使用常用的电子仪器,掌握三极管放大电路分析和设计方法,掌握集成运放的使用及运算放大电路各项性能的测量,学会查找并排除实验故障,初步培养学生实际工程设计能力,学会仿真软件的使用,掌握工程设计的概念和步骤,为以后学习和工作打下坚实的实践基础。 《模拟电子技术实验》课程内容包括基础验证性实验,设计性实验和综合设计实践三大部分。 基础验证性实验主要包括仪器设备的使用、双极性三极管电路的分析、负反馈放大电路的测量等内容。主要培养学生分析电路的能力,掌握电路基本参数的测量方法。 设计性实验主要包括运算电路的实现等内容。主要要求学生掌握基本电路的设计能力。 综合设计实践主要包括项目的选题、开题、实施和验收等过程,要求学生能够掌握电子产品开发的整个过程,提高学生的设计、制作、调试电路的能力。 实验要求大家认真做好课前预习,积极查找相关技术资料,如实记录实验数据,独立写出严谨、有理论分析、实事求是、文理通顺、字迹端正的实验报告。 本书前八个实验项目由杨艺老师编写,实验九由丁黎明老师编写。全书由丁黎明老师提出课程计划,由杨艺老师进行校对和排版。参与本书课程计划制订的还有电工电子课程组的全体老师。 2012年3月1日

电力电子技术实验教程审

电工电子实验中心 实验指导书 电力电子技术实验教程

二零零九年三月

高等学校电工电子实验系列 电力电子技术实验教程 主编王利华周荣富

攀枝花学院电气信息工程学院电工电子实验中心

内容简介 本书是根据高等院校理工科本(专)科的电力电子技术实验课程的基本要求编写的。 全书包含三个部分。第一部分对基本实验的目的、内容、原理、实验仪器和实验方法进行了阐述。第二部分对DKSZ-1电机控制系统实验装置进行了简述。第三部分是对实验装置控制组件介绍。 本书可作为我校电类和非电类专业本科生、专科生实验教学用书,还可作为从事电力电子技术的工程技术人员的参考书。

前言 电力电子技术是电气工程学科的基础课程,由电力电子器件、电力电子电路、电力电子系统及其控制三部分组成,是电力电子装置、开关电源技术、自动控制系统、变频调速应用、柔性输电系统等课程的先行课程。同时,也是电气信息类其他相关专业的重要基础课之一。 电力电子技术作为21 世纪解决能源危机的必备技术之一而受到重视。本书依据应用型人才培养目标,遵循“面向就业,突出应用”的原则,注重教材的“科学性、实用性、通用性、新颖性”,力求做到学科体系完整、理论联系实际、夯实基础知识、突出时代气息,具备科学性及新颖性,并强调知识的渐进性,兼顾知识的系统性,注重培养学生的实践能力。本书着重讲授各种电能变换电路的基本工作原理、电路结构、电气性能、波形分析方法和参数计算等。通过对本课程的学习,学生能理解并掌握电力电子技术领域的相关基础知识,培养其分析问题、解决问题的能力,了解电力电子学科领域的发展方向。 本书由三部分组成。

#电力电子技术实验报告答案

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 三、实验线路及原理 锯齿波同步移相触发电路的原理图如图1-11所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见1-3节和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读本教材1-3节及电力电子技术教材中有关锯齿波同步移相 触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围

电子技术实验指导..

电子技术实验指导 电子技术实验,实验仪器与被测电路的基本连接方法,如图1所示。 实验1 共发射极单级放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图1-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路由B1R 和B2R 分压电路组成,发射极接有电阻E R ,以稳定放大器的静态工作点。当放大器的输入端加入输入信号i u 后,在放大器的输出端便可得到一个与i u 相位相反、幅值被放大了的输出信号o u ,从而实现电压放大。 图1 测量模拟电子电路常用电子仪器的接法

在图1-1电路中,当流过偏置电阻B1R 和B2R 的电流远大于晶体管T 的基极电流B I 时(一般大5~10倍),它的静态工作点可用下式估算。 2 12 B B C C B B R U U R R ≈+, B B E C E U U I R -≈, C B I I β=,)(E C C CC CE R R I U U +-= 放大器的动态参数,电压放大倍数为 1 )1(//E be L C V R r R R A ββ ++-= 输入电阻为 121//[(1)]i B B be E R R R r R β=//++ 输出电阻为 C o R R ≈ 由于电子器件性能的分散性比较大,因此在设计和制作晶体管放大电路时,离不开测量和调试技术。在设计前应测量所有元器件的参数,为电路设计提供必要的依据,在完成设计和配装以后,还必须测量和调试放大器的静态工作点和各项性能指标。一个优质的放大器,必须是理论设计与实验调整相结合的产物。因此,除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量与调试技术。 放大器的测量和调试包括:放大器静态工作点的测量与调试和放大器动态参数的测量与调试等。 1、放大器静态工作点的测量与调试 (1)静态工作点的测量:测量放大器的静态工作点,应在输入信号0=i u 的情况下进行。将放大器输入端与地端短接,用直流电压表分别测量晶体管各电极对地的电位B U 、C U 和E U 。然后算出 C I ≈E I =E U /E R ;BE U =B U —E U ,CE U =C U —E U 。为了减少误差,提高测量精度,应选用内阻 较高的直流电压表。 (2)静态工作点的调试:是指对管子集电流C I (或CE U )的调整与测试。 静态工作点是否合适,对放大器的性能和输出波形都有很大影响。以NPN 型三极管为例,如果工作点偏高,放大器易产生饱和失真,此时o u 的负半周被缩底,如图1-2a 所示。如果工作点偏低则易产生截止失真,即o u 的正半周被缩顶,如图1-2b 所示。所以在选定工作点以后还必须进行动态调试,即在放大器的输入端加入一定的i u ,检查输出电压o u 的大小和波形是否满足要求。如果不满足,则应调节静态工作点。 改变电路参数CC U 、C R 、B R (1B R 、2B R )都会引起静态工作点的变化,通常采用调节偏置电阻2B R 的方法来改变静态工作点,如减小2B R ,可使静态工作点提高。 最后还要说明的是:工作点“偏高”或“偏低”不是 绝对的,是相对信号的幅度而言,如果信号幅度很小,即使工作点较高或较低也不一定会出现失真。所以确切的说,产生波形失真是信号幅度与静态工作点设置配合不当所致。如需满足较大信号幅度的要求,静态工作点最好靠近交流负载的中点。 (a)截止失真 (b)饱和失真 图1-2 静态工作点对o u 的影响

电子技术应用实验教程实验报告综合篇(含答案) 电子科技大学-大三上

第一部分常用电子测量仪器的使用 本部分主要涉及实验要用到的三种仪器:数字示波器、信号发生器和稳压电源。学生在自学了《电子技术应用实验教程综合篇》(后称教材)第一章内容后,填空完成这部分的内容。 一、学习示波器的应用,填空完成下面的内容 示波器能够将电信号转换为可以观察的视觉图形,便于人们观测。示波器可分为模拟示波器和数字示波器两大类。其中,模拟示波器以连续方式将被测信号显示出来;而数字示波器首先将被测信号抽样和量化,变为二进制信号存储起来,再从存储器中取出信号的离散值,通过算法将离散的被测信号以连续的形式在屏幕上显示出来。我们使用的是数字示波器。 使用双踪示波器,能够同时观测两个时间相关的信号。信号通过探头从面板上的通道1 和通道2 端送入,分别称为CH1和CH2。 在使用示波器时,需要注意以下几点: (1)正确选择触发源和触发方式 触发源的选择:如果观测的是单通道信号,就应选择该信号作为触发源;如果同时观测两个时间相关的信号,则应选择信号周期大(大/小)的通道作为触发源。 (2)正确选择输入耦合方式 应根据被观测信号的性质来选择正确的输入耦合方式。如图1.1所示,输入耦合方式若设为交流(AC),将阻挡输入信号的直流成分,示波器只显示输入的交流成分;耦合方式设为直流(DC),输入信号的交流和直流成分都通过,示波器显示输入的实际波形;耦合方式设为接地(GND),将断开输入信号。 0U 1V 5V (A) 0U 1V 5V 图1.2 被测信号实际波形 t 0 U (B) t 0 U -2V 2V (C) DC 图1.1 输入耦合开关示意图 图1.3 不同输入耦合方式时的波形

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

电子技术实验指导书

电子技术实验指导书机电工程学院电气工程系2012年2月 实验一电子仪器使用及常用元件的识别与测试 一、实验目的 1.掌握常用电子仪器的基本功能并学习其正确使用方法。 2.学习掌握用双踪示波器观察和测量波形的幅值、频率及相位的方法。 3.掌握常用元器件的识别与简单测试方法。 二、仪器设备1.万用表 2.信号发生器3.晶体管毫伏表 4.示波器 三、实验内容 1.用万用表测量电压、电流、电阻等元器件,并判断二极管和三极管的好坏。 2.用信号发生器调出不同大小的正弦波,并用晶体管毫伏表测量。 3.用信号发生器调出不同波形,用示波器进行观察测量。 四、实验步骤 1. 将万用表旋钮调至相应的功能和适当的挡位,分别测量试验台上给出的电源电压、单独给出的电阻等元器件,特别注意安全以及万用表的相应挡位。 2. 用万用表判断二极管的好坏和极性。将万用表放在×10的电阻挡,测量一个二极管的电阻并记录下来,然后交换万用表的两只表笔,再次测量它的电阻并记录下来,根据两次测量的结果判断二极管的好坏。如果两次测得的电阻值都很大,说明二极管内部已经断路,如果两次测得的电阻值都很小,说明二极管内部已经短路,只有两次测得的电阻值相差很大,才说明二极管是好的。如果是指针型万用表,测得电阻比较小的那次二极管是正向导通的,通常此时黑色表笔所接的是二极管的正极,其他万用表要先确定其测量电阻时内部电源的极性。 3. 用万用表判断三极管的好坏。三极管可以等效为两个串接的二极管,见下图a。先按测量二极管的方法确定两个PN结的好坏,如果是好的则可进一步确定三极管的基极,由此也可确定三极管的类型(PNP、NPN)。指针式万用表判断三极管的发射极和集电极是利用了三极管的电流放大特性,测试原理见图b,如被测三极管是NPN型管,先设一个极为集电极,与万用表的黑表笔相连接,用红表笔接另一个电极,观察好指针的偏转大小。然后用人体电阻代替图b中的R B,用手指捏住C和B极,C和B不要碰在一起,再观察指针的偏转大小,若此时偏转角度比第一次大,说明假设正确。若区别不大,需再重新假设。PNP型管的判别方法与NPN型管相同但极性相反。 4. 打开信号发生器,熟悉各旋钮的作用,然后调出一个1~10V,10多千赫兹的正弦交流电,分别用万用表

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

电子技术基础实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交 替”和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示 方式,当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描

#电力电子技术实验一、二、三

实验一锯齿波同步触发电路实验 一、实验目的 1、加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 2、掌握锯齿波同步移相触发电路的调试方法。 二、实验主要仪器与设备: 三、实验原理 锯齿波同步移相触发电路的原理图如图1-1所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见电力电子技术教材中的相关内容。 图1-1 锯齿波同步移相触发电路原理图 图1-1中,由V3、VD1、VD2、C1等元件组成同步检测环节,其作用是利用同步电压U T来控制锯齿波产生的时刻及锯齿波的宽度。由V1、V2等元件组成的恒流源电路,当V3截止时,恒流源对C2充电形成锯齿波;当V3导通时,电容C2通过R4、V3放电。调节电位器RP1可以调节恒流源的电流大小,从而改变了锯齿波的斜率。控制电压U ct、偏移电压U b 和锯齿波电压在V5基极综合叠加,从而构成移相控制环节,RP2、RP3分别调节控制电压U ct和偏移电压U b的大小。V6、V7构成脉冲形成放大环节,C5为强触发电容改善脉冲的前

沿,由脉冲变压器输出触发脉冲,电路的各点电压波形如图1-2所示。 本装置有两路锯齿波同步移相触发电路,I和II,在电路上完全一样,只是锯齿波触发电路II输出的触发脉冲相位与I恰好互差180°,供单相整流及逆变实验用。 电位器RP1、RP2、RP3均已安装在挂箱的面板上,同步变压器副边已在挂箱内部接好,所有的测试信号都在面板上引出。 图1-2 锯齿波同步移相触发电路各点电压波形(α=90°) 四、实验内容及步骤

1、实验内容: (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 2、实验步骤: (1) 将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V±10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围 将控制电压U ct调至零(将电位器RP2顺时针旋到底),用示波器观察同步电压信号和“6”点U6的波形,调节偏移电压U b(即调RP3电位器),使α=170°,其波形如图1-3所示。 图1-3锯齿波同步移相触发电路 (3)调节U ct(即电位器RP2)使α=60°,观察并记录U1~U6及输出“G、K”脉冲电压的波形,标出其幅值与宽度,并记录在下表中(可在示波器上直接读出,读数时应将示波器的“V/DIV”和“t/DIV”微调旋钮旋到校准位置)。

模拟电子技术实验指导

实验二常用电子仪器的使用 一、实验目的 (1)了解双踪示波器、低频信号发生器及晶体管毫伏表的原理框图和主要技术指标; (2)掌握用双踪示波器测量信号的幅度、频率; (3)掌握低频信号发生器、晶体管毫伏表的正确使用方法。 二、实验器材 双踪示波器DF4321型(或HH4310A型)低频信号发生器DF1641B型(或SG1631C型)晶体管毫伏表DF2175型 三、实验原理与参考电路 在电子技术实验里,测试和定量分析电路的静态和动态的工作状况时,最常用的电子仪器有示 示波器:用来观察电路中各点的波形,以监视电路是否正常工作,同时还用于测量波形的周期、幅度、相位差及观察电路的特性曲线等。 低频信号发生器:为电路提供各种频率和幅度的输入信号。 直流稳压电源:为电路提供电源。 晶体管毫伏表:用于测量电路的输入、输出信号的有效值。 万用表:用于测量电路的静态工作点和直流信号的值。 四、实验内容及步骤 1.低频信号发生器与晶体管毫伏表的使用 (1)信号发生器输出频率的调节方法 按下“频率范围”波段开关,配合面板上的“频率调节”旋钮可使信号发生器输出频率在0.3Hz~3MHz的范围改变。 (2)信号发生器输出幅度的调节方法 仪器面板右下方的Q9是信号的输出端,调节“输出衰减”开关和“输出调节”电位器,便可在输出端得到所需的电压,其输出为0-20V P-P的范围。 (3)低频信号发生器与毫伏表的使用 将信号发生器频率调至lkHz,调节“输出调节”旋钮,使仪器输出电压为5V P-P左右的正弦波,分别置分贝衰减开关于0dB、—20dB、—40dB、—60dB挡,用毫伏表分别测出相应的电压值。注意测量时不要超过毫伏表的量程,并且尽可能地把档位调到与被测量值相接近,以减小测量误差。 2.示波器的使用 (1)使用前的检查与校准 先将示波器面板上各键置于如下位置:“工作方式”位于“交替”(如果只观察一个波形可置于CHl通道或CH2通道);“极性”选择位于“+”;“触发方式”位于“内触发”;“DC,GND,AC"开关位于“AC”;“高频,常态,自动”开关位于“自动”位置;“灵敏度V/div"开关于“0.2V/div"档,“扫速t/div"开关于“0.2ms/div"档,亮度、辉度、位移、电平开关置中间位置,开启电源后,

相关主题
文本预览
相关文档 最新文档