当前位置:文档之家› 通信原理仿真实验报告

通信原理仿真实验报告

通信原理仿真实验报告
通信原理仿真实验报告

集装箱港口生产作业系统仿真与优化

学术研究Academic Survey 港口是交通运输的枢纽、水陆联运的咽喉。据统计,我国90%以上对外贸易的货物都是经由港口装运的。随着改革开放的不断深入,作为对外开放门户的港口所担负的任务也越来越重,港口已成为我国国民经济发展的重要支柱。 国际集装箱运输方式始于20世纪50年代中期。由于它具有装卸效率高、船舶周转快、包装费用省、货损货差少,以及适合多式联运等 优点,因此,在短短几十年间集装箱运输得到了飞速的发展。集装箱吞吐量已经成为衡量一个港口现代化水平的核心指标。2003年,我国的集装箱吞吐量超过美国成为世界第一,上海港、深圳港分别成为世界集装箱运输第三和第四大港。集装箱运输的中国时代已经到来。但是,随着经济全球化的不断深入,集装箱港口之间的国际竞争也愈加激烈。如何降低生产作业成本、提高生产作业效率,已经成为我国集装箱港口企业关注的核心问题。如何配置和调度这些资源是优化集装箱港口生产作业系统的关键。 目前,我国多数港口的生产机械调度还主要凭经验。由于集装箱港口生产作业系统十分复杂,采用传统的数学建模优化方法不能从整体上解决问题,因此,笔者提出一套仿真优化框架来分析和优化集装箱港口生产作业系统。 仿真优化框架 1.集装箱港口生产作业系统 仿真优化框架(如图1所示)。 2.关于调度策略生成算法。 调度策略生成算法的基本原理 是将可调度的机械(即岸桥、集装 箱卡车、场桥)数量,船舶作业面 限制(即最多可让多少岸桥同时作 业)等条件转换成数学约束。由于 该问题的决策变量(各种机械的配 置数量)都是整数,故可以采用全 枚举的算法得到满足约束条件的所 有可行解。因此,在船舶即将到港 时,输入集装箱港口当前可调度的 生产机械数量,船舶装载的集装箱 数量,船舶作业面限制和集装箱港 口基本调度原则,通过该调度策略 生成算法就可以产生所有可行的调 度策略。 3.仿真模型的建立。 仿真模型是集装箱港口生产作 业系统优化的关键。通过调度策略 生成算法只能得到各种生 产机械配置的所有可行方 案,但如何比选这些方案 并从中挑出最佳的进行实 际操作,都依赖于仿真模 型。因为,将每个调度方 案输入仿真模型,然后运 行仿真模型,就可以得到 在该配置下生产系统的作 业时间和岸桥、集装箱卡 车、场桥的利用率等。这 些数据都是比选方案的基 础。那么,如何建立集装 箱港口生产作业系统的仿 真模型呢? 系统的状态通常可用一个或多 个状态变量来表示。在离散事件系 统中,状态变量仅在随机的时间点 上发生瞬间的跃变,而在两个相邻 的时间点之间,系统的状态保持不 变。集装箱港口生产作业系统属于 离散事件系统。因为,该系统当中 事件的发生具有随机性,例如:岸 桥装卸时间不同,集装箱卡车运输 时间不同等。所以,在建立集装箱 港口生产作业系统的仿真模型时, 主要考虑以下几个因素:(1)随机 离散事件:这是一系列按时序、随 机发生的具体事实。它们只在离散 的可数时刻上发生。这些事实一旦 出现,将使系统中一个或多个状态 变量瞬时跃变。在集装箱港口生产 作业系统仿真中,存在许多离散事 件,比如,船舶到港、各种机械发 生故障等。(2)仿真时钟及其推进 方式:仿真时钟是仿真模型中的时 集装箱港口生产作业系统仿真与优化 文/ 王辉球 缪立新 图1 集装箱港口生产作业系统仿真优化框架 66CHINA LOGISTICS & PURCHASING

Matlab通信系统仿真实验报告

Matlab通信原理仿真 学号: 2142402 姓名:圣斌

实验一Matlab 基本语法与信号系统分析 一、实验目的: 1、掌握MATLAB的基本绘图方法; 2、实现绘制复指数信号的时域波形。 二、实验设备与软件环境: 1、实验设备:计算机 2、软件环境:MATLAB R2009a 三、实验内容: 1、MATLAB为用户提供了结果可视化功能,只要在命令行窗口输入相应的命令,结果就会用图形直接表示出来。 MATLAB程序如下: x = -pi::pi; y1 = sin(x); y2 = cos(x); %准备绘图数据 figure(1); %打开图形窗口 subplot(2,1,1); %确定第一幅图绘图窗口 plot(x,y1); %以x,y1绘图 title('plot(x,y1)'); %为第一幅图取名为’plot(x,y1)’ grid on; %为第一幅图绘制网格线 subplot(2,1,2) %确定第二幅图绘图窗口 plot(x,y2); %以x,y2绘图 xlabel('time'),ylabel('y') %第二幅图横坐标为’time’,纵坐标为’y’运行结果如下图: 2、上例中的图形使用的是默认的颜色和线型,MATLAB中提供了多种颜色和线型,并且可以绘制出脉冲图、误差条形图等多种形式图: MATLAB程序如下: x=-pi:.1:pi; y1=sin (x); y2=cos (x); figure (1); %subplot (2,1,1); plot (x,y1); title ('plot (x,y1)'); grid on %subplot (2,1,2); plot (x,y2);

数字通信技术实验指导讲解

数字通信技术 实验指导书 电子与信息工程学院 2015年6月

实验一分组交织编码的MATLAB实现 1 实验目的 1、掌握分组交织编码的原理; 2、进一步学习Matlab软件的使用和编程; 3、提高独立设计实验的能力。 2 实验要求 1、课前预习实验,实验原理必须论述清楚; 2、实验报告中列出所有的Matlab源程序并解释代码; 3、实验结果(波形图)必须粘贴在实验报告中; 4、实验报告上写上自己的学号和姓名。 3 实验代码与结果 1、长度≤N的长突发错误通过解交织被离散为随机错误,错误码元之间的最小间隔为M。 s1=[1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24] x1=reshape(s1,4,6) %交织器的输入按列写入 x1(2,4)=0;x1(2,5)=0; x1(2,6)=0;x1(3,1)=0;x1(3,2)=0 %产生长度为5的长突发错误 s2=reshape(x1',1,24) %交织器的输出按行读出 x2=reshape(s2,6,4)' %解交织器的输入按行写入 s3=reshape(x2,1,24) %解交织器的输出按列读出 s3(1,3)=3;s3(1,7)=7; s3(1,14)=14;s3(1,18)=18;s3(1,22)=22 %通过分组码纠正随机错误 a=[s1,s2,s3] %对比三个输出 plot(s1,s2)

2、对于周期性的单个错误(间隔为N),通过解交织后会转化为长度为M的单个长突发错误。 s1=[1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24] x1=reshape(s1,4,6) x1(1,3)=0;x1(2,3)=0; x1(3,3)=0;x1(4,3)=0 s2=reshape(x1',1,24) x2=reshape(s2,6,4)' s3=reshape(x2,1,24) a=[s1,s2,s3] plot(s1,s2)

系统仿真示例

Flexsim应用案例示例 示例一港口集装箱物流系统仿真 (根据:肖锋,基于Flexsim集装箱码头仿真平台关键技术研究,武汉:武汉理工大学硕士学位论文,2006改编) 1、港口集装箱物流系统概述与仿真目的 1.1港口集装箱物流系统概述 1.2港口集装箱物流系统仿真的目的 2、港口集装箱物流系统的作业流程 2.1港口集装箱物流系统描述 2.2港口集装箱物流系统作业流程 2.3港口集装箱物流系统离散模型分析 3、港口集装箱物流系统仿真模型 3.1港口集装箱物流系统布局模型设计 3.2港口集装箱物流系统设备建模 3.3港口集装箱物流系统仿真 4、仿真运行及数据分析 4.1仿真运行及数据处理 4.2仿真数据的结果分析 小结与讨论 示例二物流配送中心仿真 (根据:XXX改编) 1、物流配送中心概述与仿真目的 1.1物流配送中心简介 1.2仿真目的 2、配送中心的作业流程描述 2.1配送中心的功能 2.2配送中心的系统流程

3、配送中心的仿真模型 3.1配送中心的仿真布局模型设计 3.2配送中心的设备建模 3.3配送中心的仿真 4、仿真运行及数据分析 4.1仿真运行及数据处理 4.2仿真数据结果分析 4.3系统优化 小结与讨论 “我也来编书”示例 示例一第X章排队系统建模与仿真学习要点 1、排队系统概述 2、排队系统问题描述 3、排队系统建模 4、排队系统仿真 5、模型运行与结果分析 小结 思考题与习题(3-5题) 参考文献 1、李文锋,袁兵,张煜.2010.物流系统建模与仿真(第6章) 北京:科学出版社 2、王红卫,谢勇,王小平,祁超.2009.物流系统仿真(第6章) 北京:清华大学出版社 3、马向国,刘同娟.2012.现代物流系统建模、仿真及应用案例(第5章)

MATLAB通信系统仿真实验报告1

MATLAB通信系统仿真实验报告

实验一、MATLAB的基本使用与数学运算 目的:学习MATLAB的基本操作,实现简单的数学运算程序。 内容: 1-1要求在闭区间[0,2π]上产生具有10个等间距采样点的一维数组。试用两种不同的指令实现。 运行代码:x=[0:2*pi/9:2*pi] 运行结果: 1-2用M文件建立大矩阵x x=[0.10.20.30.40.50.60.70.80.9 1.11.21.31.41.51.61.71.81.9 2.12.22.32.42.52.62.72.82.9 3.13.23.33.43.53.63.73.83.9] 代码:x=[0.10.20.30.40.50.60.70.80.9 1.11.21.31.41.51.61.71.81.9 2.12.22.32.42.52.62.72.82.9 3.13.23.33.43.53.63.73.83.9] m_mat 运行结果: 1-3已知A=[5,6;7,8],B=[9,10;11,12],试用MATLAB分别计算 A+B,A*B,A.*B,A^3,A.^3,A/B,A\B. 代码:A=[56;78]B=[910;1112]x1=A+B X2=A-B X3=A*B X4=A.*B X5=A^3 X6=A.^3X7=A/B X8=A\B

运行结果: 1-4任意建立矩阵A,然后找出在[10,20]区间的元素位置。 程序代码及运行结果: 代码:A=[1252221417;111024030;552315865]c=A>=10&A<=20运行结果: 1-5总结:实验过程中,因为对软件太过生疏遇到了些许困难,不过最后通过查书与同学交流都解决了。例如第二题中,将文件保存在了D盘,而导致频频出错,最后发现必须保存在MATLAB文件之下才可以。第四题中,逻辑语言运用到了ij,也出现问题,虽然自己纠正了问题,却也不明白错在哪了,在老师的讲解下知道位置定位上不能用ij而应该用具体的整数。总之第一节实验收获颇多。

数字通信系统设计实验报告

实验1:用 Verilog HDL 程序实现乘法器 1实验要求: (1) 编写乘法器的 Veirlog HDL 程序. (2) 编写配套的测试基准. (3) 通过 QuartusII 编译下载到目标 FPGA器件中进行验证 (4) 注意乘法逻辑电路的设计. 2 试验程序: Module multiplier(input rst,input clk,input [3:0]multiplicand, input [3:0]multiplier,input start_sig,output done_sig,output [7:0]result); reg [3:0]i; reg [7:0]r_result; reg r_done_sig; reg [7:0]intermediate; always @ ( posedge clk or negedge rst ) if( !rst ) begin i<=4'b0; r_result<=8'b0; end else if(start_sig) begin case(i) 0: begin intermediate<={4'b0,multiplicand}; r_result<=8'b0; i<=i+1; end 1,2,3,4: begin if(multiplier[i-1]) begin r_result<=r_result+intermediate; end intermediate<={intermediate[6:0],1'b0}; i<=i+1; end 5: begin r_done_sig<=1'b1;

i<=i+1; end 6: begin r_done_sig<=1'b0; i<=1'b0; end endcase end assign result=r_done_sig?r_result:8'bz; assign done_sig=r_done_sig; endmodule3 测试基准: `timescale 1 ps/ 1 ps module multiplier_simulation(); reg clk; reg rst; reg [3:0]multiplicand; reg [3:0]multiplier; reg start_sig; wire done_sig; wire [7:0]result; /***********************************/ initial begin rst = 0; #10; rst = 1; clk = 1; forever #10 clk = ~clk; end /***********************************/ multiplier U1 ( .clk(clk), .rst(rst), .multiplicand(multiplicand), .multiplier(multiplier), .result(result), .done_sig(done_sig), .start_sig(start_sig) ); reg [3:0]i; always @ ( posedge clk or negedge rst ) if( !rst )

北邮scilab_通信原理软件实验报告

信息与通信工程学院通信原理软件实验报告

实验二时域仿真精度分析 一、实验目的 1. 了解时域取样对仿真精度的影响 2. 学会提高仿真精度的方法 二、实验原理 一般来说,任意信号s(t)是定义在时间区间(-无穷,+无穷)上的连续函数,但所有计算机的CPU 都只能按指令周期离散运行,同时计算机也不能处理这样一个时间段。为此将把s(t)按区间[-T/2 ,+T/2 ]截短为按时间间隔dert T均匀取样,得到的取样点数为N=T/dert T. 仿真时用这个样值集合来表示信号s(t)。Dert T反映了仿真系统对信号波形的分辨率,越小则仿真的精确度越高。据通信原理所学,信号被取样以后,对应的频谱是频率的周期函数,其重复周期是1/t; 。如果信号的最高频率为 那么必须有 才能保证不发生频域混叠失真,这是奈奎斯特抽样定理。设 则称为仿真系统的系统带宽。如果在仿真程序中设定的采样间隔是,那么不能用 此仿真程序来研究带宽大于这的信号或系统。换句话说,就是当系统带宽一定的情况下,信号的采样频率最小不得小于2*Bs,如此便可以保证信号的不失真,在此基础上时域采样频率越高,其时域波形对原信号的还原度也越高,信号波形越平滑。也就是说,要保证信号的通信成功,必须要满足奈奎斯特抽样定理,如果需要观察时域波形的某些特性,那么采样点数越多,可得到越真实的时域信号。 三、实验步骤 1.将正弦波发生器模块、示波器模块、时钟模块按下图连接:

时钟设置0.01,得到的结果如下: 时钟设置0.3,以后得到的结果如下:

五、思考题 (1)观察分析两图的区别,解释其原因。 答:因为信号周期是1,而第一个图的采样周期是0.01,所以一个周期内能采样100个点,仿真出来的波形能较精确地显示成完整波形,而第二个图采样周期是0.3,所以一个周期内只有三个采样点,故信号失真了。 (2)将示波器的控制时钟的period的参数改为0.5,观察仿真结果,分析其原因。 结果如下:

港口系统仿真实验报告

港口系统仿真实验报告

一、线性同余法产生随机数 1、递推公式 m c aI I n n m od )(1+=+ I 0: 初始值(种子seed) a : 乘法器 (multiplier) c : 增值(additive constant) m : 模数(modulus) mod :取模运算:(aIn+c )除以m 后的余数 a, c 和m 皆为整数 产生整型的随机数序列,随机性来源于取模运算,如果c=0 , 乘同余法:速度更快,也可产 生长的随机数序列 2、特点 最大容量为m : 独立性和均匀性取决于参数a 和c 的选择 例:a =c =I 0=7, m=10 ? 7,6,9,0,7,6,9,0,… 3、模数m 的选择: m 应尽可能地大,因为序列的周期不可能大于m ; 通常将m 取为计算机所能表示的最大的整型量,在32位计算机上,m =231=2x109 4、乘数因子a 的选择: 用线性乘同余方法产生的随机数序列具有周期m 的条件是: 1. c 和m 为互质数; 2. a-1是质数p 的倍数,其中p 是a-1和m 的共约数; 3. 如果m 是4的倍数,a-1也是4的倍数。 对于本报告用线性同余法产生1000个[0,1]独立均匀分布的随机数,要求按照以下规则尝试两组参数,产生两组1000个随机数,并得到每组随机数的平均间隔、最小数据间隔、最大 数据间隔。 (1)取m=2^26=1073741824 c=12357 a=4*270+1=21 =0X 18710324 m c X a X i i m od )*(1+=+ 将得到的1000个随即数据排序,并求差值, 具体数据见excel ,得到 最大间隔 0.007746292 最小间隔 1.77883E-06 平均间隔 0.000998246 (2) 取m=2^29= 33554432 c=0 a=8*139+3=1117 0123X =4567 m c X a X i i m od )*(1+=+ 将得到的1000个随即数据排序,并求差值, 具体数据见excel ,得到 最大间隔 0.008767486

通信原理实验一、二实验报告

通信原理 实验一 实 验 报 告 实验日期: 学院: 班级: 学号: 姓名: 指导老师:

实验一数字基带传输系统的MA TLAB仿真 一、实验目的 1、熟悉和掌握常用的用于通信原理时域仿真分析的MATLAB函数; 2、掌握连续时间和离散时间信号的MATLAB产生; 3、牢固掌握冲激函数和阶跃函数等函数的概念,掌握卷积表达式及其物理意义,掌握 卷积的计算方法、卷积的基本性质; 4、掌握利用MATLAB计算卷积的编程方法,并利用所编写的MA TLAB程序验证卷积的 常用基本性质; 5、掌握MATLAB描述通信系统中不同波形的常用方法及有关函数,并学会利用 MATLAB求解系统功率谱,绘制相应曲线。 基本要求:掌握用MATLAB描述连续时间信号和离散时间信号的方法,能够编写 MATLAB程序,实现各种常用信号的MA TLAB实现,并且以图形的方式再现各种信号的波形。 二、实验内容 1、编写MATLAB 程序产生离散随机信号 2、编写MATLAB 程序生成连续时间信号 3、编写MATLAB 程序实现常见特殊信号 三、实验原理 从通信的角度来看,通信的过程就是消息的交换和传递的过程。而从数学的角度来看, 信息从一地传送到另一地的整个过程或者各个环节不外乎是一些码或信号的交换过程。例如 信源压缩编码、纠错编码、AMI编码、扰码等属于码层次上的变换,而基带成形、滤波、调 制等则是信号层次上的处理。码的变换是易于用软件来仿真的。要仿真信号的变换,必须解 决信号与信号系统在软件中表示的问题。 四、实验步骤 (1)分析程序program1_1 每条指令的作用,运行该程序,将结果保存,贴在下面的空白 处。然后修改程序,将dt 改为0.2,并执行修改后的程序,保存图形,看看所得图形的效果 怎样。 dt=0.01 时的信号波形 Sinusoidal signal x(t) -2-1.5-1-0.500.51 1.52 Time t (sec) dt=0.2 时的信号波形

通信工程系统仿真实验报告

通信原理课程设计 实验报告 专业:通信工程 届别:07 B班 学号:0715232022 姓名:吴林桂 指导老师:陈东华

数字通信系统设计 一、 实验要求: 信源书记先经过平方根升余弦基带成型滤波,成型滤波器参数自选,再经BPSK ,QPSK 或QAM 调制(调制方式任选),发射信号经AWGN 信道后解调匹配滤波后接收,信道编码可选(不做硬性要求),要求给出基带成型前后的时域波形和眼图,画出接收端匹配滤波后时域型号的波形,并在时间轴标出最佳采样点时刻。对传输系统进行误码率分析。 二、系统框图 三、实验原理: QAM 调制原理:在通信传渝领域中,为了使有限的带宽有更高的信息传输速率,负载更多的用户必须采用先进的调制技术,提高频谱利用率。QAM 就是一种频率利用率很高的调制技术。 t B t A t Y m m 00sin cos )(ωω+= 0≤t ≤Tb 式中 Tb 为码元宽度t 0cos ω为 同相信号或者I 信号; t 0s i n ω 为正交信号或者Q 信号; m m B A ,为分别为载波t 0cos ω,t 0sin ω的离散振幅; m 为 m A 和m B 的电平数,取值1 , 2 , . . . , M 。 m A = Dm*A ;m B = Em*A ; 式中A 是固定的振幅,与信号的平均功率有关,(dm ,em )表示调制信号矢量点在信号空

间上的坐标,有输入数据决定。 m A 和m B 确定QAM 信号在信号空间的坐标点。称这种抑制载波的双边带调制方式为 正交幅度调制。 图3.3.2 正交调幅法原理图 Pav=(A*A/M )*∑(dm*dm+em*em) m=(1,M) QAM 信号的解调可以采用相干解调,其原理图如图3.3.5所示。 图3.3.5 QAM 相干解调原理图 四、设计方案: (1)、生成一个随机二进制信号 (2)、二进制信号经过卷积编码后再产生格雷码映射的星座图 (3)、二进制转换成十进制后的信号 (4)、对该信号进行16-QAM 调制 (5)、通过升余弦脉冲成形滤波器滤波,同时产生传输信号 (6)、增加加性高斯白噪声,通过匹配滤波器对接受的信号滤波 (7)、对该信号进行16-QAM 解调 五、实验内容跟实验结果:

通信技术实训报告

南昌工程学院 《通信技术》实训报告 系院信息工程学院 专业通信工程 班级 学生姓名 学号 实习地点 指导教师 实习起止时间:2014 年 6 月9 日至2014 年6 月20 日

目录 一、实训时间 (3) 二、实训地点 (3) 三、实训目的 (3) 四、实训情况简介 (3) 五、实训内容 (4) 六、实训小结或体会 (10)

一、实训时间:从2014 年6 月9 日至2014年6 月20 日 二、实训地点: 三、实训目的 通过本实训了解2M业务在点对点组网方式时候的配置。通过本实训了解2M业务在链型组网方式时候的配置。通过本实训了解2M业务在环形组网方式时候的配置。通过本次实训了解MGW及MSCS数据配置。 SDH技术的诞生有其必然性,随着通信的发展,要求传送的信息不仅是话音,还有文字、数据、图像SDH技术和视频等。加之数字通信和计算机技术的发展,在70至80年代,陆续出现了T1(DS1)/E1载波系统(1.544/2.048Mbps)、X.25帧中继、ISDN(综合业务数字网) 和FDDI(光纤分布式数据接口)等多种网络技术。随着信息社会的到来,人们希望现代信息传输网络能快速、经济、有效地提供各种电路和业务,而上述网络技术由于其业务的单调性,扩展的复杂性,带宽的局限性,仅在原有框架内修改或完善已无济于事。SDH就是在这种背景下发展起来的。在各种宽带光纤接入网技术中,采用了SDH技术的接入网系统是应用最普遍的。SDH的诞生解决了由于入户媒质的带宽限制而跟不上骨干网和用户业务需求的发展,而产生了用户与核心网之间的接入"瓶颈"的问题,同时提高了传输网上大量带宽的利用率。SDH技术自从90年代引入以来,至今已经是一种成熟、标准的技术,在骨干网中被广泛采用,且价格越来越低,在接入网中应用可以将SDH技术在核心网中的巨大带宽优势和技术优势带入接入网领域,充分利用SDH 同步复用、标准化的光接口、强大的网管能力、灵活网络拓扑能力和高可靠性带来好处,在接入网的建设发展中长期受益。我们了解SDH的光传输及MGW及MSCS数据配置对我们加深理论知识理解有帮助。 四、实训情况简介 经学校安排, 于2014年6月9号至2014年6月20号期间在电子信息楼B404进行了实训,实训工作内容为SDH点对点组网配置实验,SDH链型组网配置实验,SDH环形组网配置实验以及MGW和MSCS数据配置实验。现总结如下: 实验进行了两周,第一周是移动数据配置,第二周是光传输实验,每次老师先给我们讲解原理,还有注意事项等,我了解了原理之后按照指导书在配置环境下按指导书配置。配置

通信原理实验报告

实验一常用信号的表示 【实验目的】 掌握使用MATLAB的信号工具箱来表示常用信号的方法。 【实验环境】 装有MATLAB6.5或以上版本的PC机。 【实验内容】 1. 周期性方波信号square 调用格式:x=square(t,duty) 功能:产生一个周期为2π、幅度为1 ±的周期性方波信号。其中duty表示占空比,即在信号的一个周期中正值所占的百分比。 例1:产生频率为40Hz,占空比分别为25%、50%、75%的周期性方波。如图1-1所示。 clear; % 清空工作空间内的变量 td=1/100000; t=0:td:1; x1=square(2*pi*40*t,25); x2=square(2*pi*40*t,50); x3=square(2*pi*40*t,75); % 信号函数的调用subplot(311); % 设置3行1列的作图区,并在第1区作图plot(t,x1); title('占空比25%'); axis([0 0.2 -1.5 1.5]); % 限定坐标轴的范围 subplot(312); plot(t,x2); title('占空比50%'); axis([0 0.2 -1.5 1.5]); subplot(313); plot(t,x3); title('占空比75%'); axis([0 0.2 -1.5 1.5]);

图1-1 周期性方波 2. 非周期性矩形脉冲信号rectpuls 调用格式:x=rectpuls(t,width) 功能:产生一个幅度为1、宽度为width、以t=0为中心左右对称的矩形波信号。该函数横坐标范围同向量t决定,其矩形波形是以t=0为中心向左右各展开width/2的范围。Width 的默认值为1。 例2:生成幅度为2,宽度T=4、中心在t=0的矩形波x(t)以及x(t-T/2)。如图1-2所示。 t=-4:0.0001:4; T=4; % 设置信号宽度 x1=2*rectpuls(t,T); % 信号函数调用 subplot(121); plot(t,x1); title('x(t)'); axis([-4 6 0 2.2]); x2=2*rectpuls(t-T/2,T); % 信号函数调用

OFDM系统仿真实验报告

无线通信——OFDM系统仿真

一、实验目的 1、了解OFDM 技术的实现原理 2、利用MATLAB 软件对OFDM 的传输性能进行仿真并对结论进行分析。 二、实验原理与方法 1 OFDM 调制基本原理 正交频分复用(OFDM)是多载波调制(MCM)技术的一种。MCM 的基本思想是把数据流串并变换为N 路速率较低的子数据流,用它们分别去调制N 路子载波后再并行传输。因子数据流的速率是原来的1/N ,即符号周期扩大为原来的N 倍,远大于信道的最大延迟扩展,这样MCM 就把一个宽带频率选择性信道划分成N 个窄带平坦衰落信道,从而“先天”具有很强的抗多径衰落和抗脉冲干扰的能力,特别适合于高速无线数据传输。OFDM 是一种子载波相互混叠的MCM ,因此它除了具有上述毗M 的优势外,还具有更高的频谱利用率。OFDM 选择时域相互正交的子载波,创门虽然在频域相互混叠,却仍能在接收端被分离出来。 2 OFDM 系统的实现模型 利用离散反傅里叶变换( IDFT) 或快速反傅里叶变换( IFFT) 实现的OFDM 系统如图1 所示。输入已经过调制(符号匹配) 的复信号经过串P 并变换后,进行IDFT 或IFFT 和并/串变换,然后插入保护间隔,再经过数/模变换后形成OFDM 调制后的信号s (t ) 。该信号经过信道后,接收到的信号r ( t ) 经过模P 数变换,去掉保护间隔以恢复子载波之间的正交性,再经过串/并变换和DFT 或FFT 后,恢复出OFDM 的调制信号,再经过并P 串变换后还原出输入的符号。 图1 OFDM 系统的实现框图 从OFDM 系统的基本结构可看出, 一对离散傅里叶变换是它的核心,它使各子载波相互正交。设OFDM 信号发射周期为[0,T],在这个周期内并行传输的N 个符号为001010(,...,)N C C C -,,其中ni C 为一般复数, 并对应调制星座图中的某一矢量。比如00(0)(0),(0)(0)C a j b a b =+?和分别为所要传输的并行信号, 若将

通信原理 数字基带传输实验报告

基带传输系统实验报告 一、 实验目的 1、 提高独立学习的能力; 2、 培养发现问题、解决问题和分析问题的能力; 3、 学习matlab 的使用; 4、 掌握基带数字传输系统的仿真方法; 5、 熟悉基带传输系统的基本结构; 6、 掌握带限信道的仿真以及性能分析; 7、 通过观察眼图和星座图判断信号的传输质量。 二、 实验原理 在数字通信中,有些场合可以不经载波调制和解调过程而直接传输基带信号,这种直接传输基带信号的系统称为基带传输系统。 基带传输系统方框图如下: 基带脉冲输入 噪声 基带传输系统模型如下: 信道信号 形成器 信道 接收 滤波器 抽样 判决器 同步 提取 基带脉冲

各方框的功能如下: (1)信道信号形成器(发送滤波器):产生适合于信道传输的基带信号波形。因为其输入一般是经过码型编码器产生的传输码,相应的基本波形通常是矩形脉 冲,其频谱很宽,不利于传输。发送滤波器用于压缩输入信号频带,把传输 码变换成适宜于信道传输的基带信号波形。 (2)信道:是基带信号传输的媒介,通常为有限信道,如双绞线、同轴电缆等。信道的传输特性一般不满足无失真传输条件,因此会引起传输波形的失真。另 外信道还会引入噪声n(t),一般认为它是均值为零的高斯白噪声。 (3)接收滤波器:接受信号,尽可能滤除信道噪声和其他干扰,对信道特性进行均衡,使输出的基带波形有利于抽样判决。 (4)抽样判决器:在传输特性不理想及噪声背景下,在规定时刻(由位定时脉冲控制)对接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。 (5)定时脉冲和同步提取:用来抽样的位定时脉冲依靠同步提取电路从接收信号中提取。 三、实验内容 1采用窗函数法和频率抽样法设计线性相位的升余弦滚讲的基带系统(不调用滤波器设计函数,自己编写程序) 设滤波器长度为N=31,时域抽样频率错误!未找到引用源。o为4 /Ts,滚降系数分别取为0.1、0.5、1, (1)如果采用非匹配滤波器形式设计升余弦滚降的基带系统,计算并画出此发送滤波器的时域波形和频率特性,计算第一零点带宽和第一旁瓣衰减。 (2)如果采用匹配滤波器形式设计升余弦滚降的基带系统,计算并画出此发送滤波器的时域波形和频率特性,计算第一零点带宽和第一旁瓣衰减。 (1)非匹配滤波器 窗函数法: 子函数程序: function[Hf,hn,Hw,w]=umfw(N,Ts,a)

通信原理实验报告

通信原理实验报告 一.实验目的 熟悉掌握MATLAB软件的应用,学会对一个连续信号的频谱进行仿真,熟悉sigexpand(x2,ts2/ts1)函数的意义和应用,完成抽样信号对原始信号的恢复。 二.实验内容 设低通信号x(t)=cos(4pi*t)+1.5sin(6pi*t)+0.5cos(20pi*t); (1)画出该低通信号的波形 (2)画出抽样频率为fs=10Hz(亚采样)、20Hz(临界采样)、50Hz(过采样)的抽样序列 (3)抽样序列恢复出原始信号 (4)三种抽样频率下,分别分析对比模拟信号、离散采样信号、恢复信号的时域波形的差异。 原始信号与恢复信号的时域波形之差有何特点?有什么样的发现和结论? (5)三种抽样频率下,分别分析对比模拟信号、离散采样信号、恢复信号的频域特性的差异。 原始信号与恢复信号的频域波形之差有何特点?有什么样的发现和结论? 实验程序及输出结果 clear; close all; dt=0.05; t=-2:dt:2 x=cos(4*pi*t)+1.5*sin(6*pi*t)+0.5*cos(20*pi*t); N=length(t); Y=fft(x)/N*2; fs=1/dt; df=fs/(N-1); f=(0:N-1)*df; subplot(2,1,1) plot(t,x) title('抽样时域波形') xlabel('t') grid; subplot(2,1,2) plot(f,abs(Y)); title('抽样频域信号 |Y|'); xlabel('f'); grid;

定义sigexpand函数 function[out]=sigexpand(d,M) N=length(d); out=zeros(M,N); out(1,:)=d; out=reshape(out,1,M*N); 频域时域分析fs=10Hz clear; close all; dt=0.1; t0=-2:0.01:2 t=-2:dt:2 ts1=0.01 x0=cos(4*pi*t0)+1.5*sin(6*pi*t0)+0.5*cos(20*pi*t0); x=cos(4*pi*t)+1.5*sin(6*pi*t)+0.5*cos(20*pi*t); B=length(t0); Y2=fft(x0)/B*2; fs2=1/0.01; df2=fs2/(B-1); f2=(0:B-1)*df2; N=length(t); Y=fft(x)/N*2;

基于Arena的港口泊位三维仿真系统的实现

第3卷第1期 System Simulation Technology V ol. 3, No.1 中图分类号:TP39 文献标识码:A 基于Arena 的港口泊位三维仿真系统的实现 王永辉,胡青泥,舒宏 (大连理工大学机械工程学院,辽宁,116023) 摘要:本文在三维仿真软件Arena 3DPlayer平台上实现了港口泊位作业系统的三维动画仿真。首先分析了港口泊位作业系统并利用Arena对该系统进行了二维仿真模拟,然后给出该系统在Arena 3DPlayer平台上三维仿真动画的实现过程,最后总结了其中的关键技术。 关键词:港口泊位;Arena;Arena 3DPlayer;三维仿真 Implementation of Berth 3D Animation Simulation System Based on Arena W ANG Yonghui, HU Qingni, SHU Hong (School of Mechanical Engineering, Dalian University of Technology, Liaoning, 116023) Abstract: The paper presents a 3D Simulation model of berth operation system in a container terminal using the Arena 3DPlayer. The author analyzes and models the berth operation system with Rockwell Arena, and animates the model with 2D animation tools, then, the working flow of 3D Simulation is proposed based on Arena 3DPlayer, finally, the key technology of Arena 3D simulation is summarized. Keywords: berth; Arena; Arena 3DPlayer; 3D simulation 1 引言 港口泊位作业过程中存在着许多随机因素,运用系统仿真的方法可以对泊位营运过程进行模拟,通过对仿真输出结果的分析,决策得出在给定的岸线长度条件下,规划合理的泊位数量,用以提高岸线利用率,减少船舶等待时间。 本文利用可视化仿真软件Arena及基于其上开发的Arena 3Dplayer,建立了港口泊位作业系统的仿真模型。该模型具有动画效果和交互功能,可实时演示港口泊位作业系统的服务过程并可以与使用者进行实时交互。2 港口泊位作业系统描述 港口泊位作业系统的服务对象是集装箱船舶,服务设备是港口的所有设施,其中最主要的是供船舶停靠的泊位数量及其装卸设备。 当集装箱船舶到港后,首先需要为其安排泊位,然后再配置相应的装卸设备资源以及堆场空间资源,以便进行装卸作业。由于泊位空间是港口的一种稀缺资源,因此,泊位配置问题是提高集装箱港口效率的关键点之一。 所谓泊位配置问题,就是为到港的集装箱船舶指定适当的位置,供其靠泊作业,以减少船舶的在港时间,提高港口的运作效率。目前,集装箱港口的泊位配置大多是计划人员根据以往经验来安排,

通信系统仿真实验报告(DOC)

通信系统实验报告——基于SystemView的仿真实验 班级: 学号: 姓名: 时间:

目录 实验一、模拟调制系统设计分析 -------------------------3 一、实验内容-------------------------------------------3 二、实验要求-------------------------------------------3 三、实验原理-------------------------------------------3 四、实验步骤与结果-------------------------------------4 五、实验心得------------------------------------------10 实验二、模拟信号的数字传输系统设计分析------------11 一、实验内容------------------------------------------11 二、实验要求------------------------------------------11 三、实验原理------------------------------------------11 四、实验步骤与结果------------------------------------12 五、实验心得------------------------------------------16 实验三、数字载波通信系统设计分析------------------17 一、实验内容------------------------------------------17 二、实验要求------------------------------------------17 三、实验原理------------------------------------------17 四、实验步骤与结果------------------------------------18 五、实验心得------------------------------------------27

数字信号光纤通信技术实验报告

数字信号光纤通信技术实验的报告 预习要求 通过预习应理解以下几个问题: 1.数字信号光纤传输系统的基本结构及工作过程; 2.衡量数字通信系统有那两个指标?; 3.数字通信系统中误码是怎样产生的?; 4.为什么高速传输系统总是与宽带信道对应?; 5.引起光纤中码元加宽有那些因素?; 6.本实验系统数字信号光-电/电-光转换电路的工作原理; 7.为什么在数字信号通信系统中要对被传的数据进行编码和解码?; 8.时钟提取电路的工作原理。 目的要求 1.了解数字信号光纤通信技术的基本原理 2.掌握数字信号光纤通信技术实验系统的检测及调试技术 实验原理 一、数字信号光纤通信的基本原理 数字信号光纤通信的基本原理如图8-2-1示(图中仅画出一个方向的信道)。工作的基本过程如下:语音信号经模/数转换成8位二进制数码送至信号发送电路,加上起始位(低电平)和终止位(高电平)后,在发时钟TxC的作用下以串行方式从数据发送电路输出。此时输出的数码称为数据码,其码元结构是随机的。为了克服这些随机数据码出现长0或长1码元时,使接收端数字信号的时钟信息下降给时钟提取带来的困难,在对数据码进行电/光转换之前还需按一定规则进行编码,使传送至接收端的数字信号中的长1或长0码元个数在规定数目内。由编码电路输出的信号称为线路码信号。线路码数字信号在接收端经过光/电转换后形成的数字电信号一方面送到解码电路进行解码,与此同时也被送至一个高Q值的RLC谐振选频电路进行时钟提取. RLC谐振选频电路的谐振频率设计在线路码的时钟频率处。由时钟提取电路输出的时钟信号作为收时钟RxC,其作用有两个:1.为解码电路对接收端的线路码进行解码时提供时钟信号;2.为数字信号接收电路对由解码电路输出的再生数据码进行码值判别时提供时钟信号。接收端收到的最终数字信号,经过数/模转换恢复成原来的语音信号。 图8-2-1 数字信号光纤通信系统的结构框图 在单极性不归零码的数字信号表示中,用高电平表示1码元,低电平表示0码元。码元持续时间(亦称码元宽度)与发时钟TxC的周期相同。为了增大通信系统的传输容量,就要求提高收、发时钟的频率。发时钟频率愈高码元宽度愈窄。 由于光纤信道的带宽有限,数字信号经过光纤信道传输到接收端后,其码元宽度要加宽。加宽程度由光纤信道的频率特性和传输距离决定。单模光纤频带宽,多模光纤频带窄。因为按光波导理论[1]分析:光纤是一种圆柱形介质波导,光在其中传播时实际上是一群满足麦克斯韦方程和纤芯—包层界面处边界条件的电磁波,每个这样的电磁波称为一个模式。光纤中允许存在的模式的数量与纤芯半径和数字孔径有关。纤芯半径和数字孔径愈大,光纤中参与光信号传输的模式也愈多,这种光纤称为多模光纤(芯径50或62.5μm)。多模光纤中每个模式沿光纤轴线方向的传播速度都不相同。因此,在光纤信道的输入端同时激励起多个模式时,每个模式携带的光功率到达光纤信道终点的时间也不一样,从而引起了数字信号码元的加宽。码元加

相关主题
文本预览
相关文档 最新文档