当前位置:文档之家› VHDL简易电子琴设计

VHDL简易电子琴设计

VHDL简易电子琴设计
VHDL简易电子琴设计

简易电子琴的设计

摘要随着基于CPLD的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制用计算机等领域的重要性日益突出。作为一个学电子信息专业的学生,我们必须不断地了解更多的新产品信息,这就更加要求我们对EDA有个全面的认识。本程序设计的是简易电子琴的设计。采用EDA作为开发工具,VHDL语言为硬件描述语言,MAX + PLUS II作为程序运行平台,所开发的程序通过调试运行、波形仿真验证,初步实现了设计目标。本程序使用的硬件描述语言VHDL,可以大大降低了硬件数字系统设计的入门级别,让人感觉就是C语言的近亲。通过老师的指导和自己的学习完成了预想的功能。

1 引言

1.1 课程设计的目的

巩固和运用所学课程,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力,通过对一个简易的八音符电子琴的设计,进一步加深对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。巩固所学课堂知识,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力。为了进一步了解计算机组成原理与系统结构,深入学习EDA技术,用VHDL语言去控制将会使我们对本专业知识可以更好地掌握。

1.2 课程设计的内容

(1)设计一个简易的八音符电子琴,它可通过按键输入来控制音响。

(2)演奏时可以选择是手动演奏(由键盘输入)还是自动演奏已存入的乐曲。

(3)能够自动演奏多首乐曲,且每首乐曲可重复演奏。

2 开发工具简介

2.1 EDA技术

EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术是以计算机为工具,根据硬件描述语言HDL(Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,

针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。也就是说,综合器是软件描述与硬件实现的一座桥梁。综合过程就是将电路的高级语言描述转换低级的、可与目标器件FPGA/CPLD相映射的网表文件。

适配器的功能是将由综合器产生的王表文件配置与指定的目标器件中,产生最终的下载文件,如JED文件。适配所选定的目标器件(FPGA/CPLD芯片)必须属于在综合器中已指定的目标器件系列。

硬件描述语言HDL是相对于一般的计算机软件语言,如:C、PASCAL而言的。HDL语言使用与设计硬件电子系统的计算机语言,它能描述电子系统的逻辑功能、电路结构和连接方式。设计者可利用HDL程序来描述所希望的电路系统,规定器件结构特征和电路的行为方式;然后利用综合器和适配器将此程序编程能控制FPGA和CPLD 内部结构,并实现相应逻辑功能的的门级或更底层的结构网表文件或下载文件。目前,就FPGA/CPLD开发来说,比较常用和流行的HDL主要有ABEL-HDL、AHDL和VHDL。

2.2硬件描述语言—VHDL

VHDL的英文全名是Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。现在,VHDL和Verilog 作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。

VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实

体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。应用VHDL进行工程设计的优点是多方面的。

(1) 与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

(2) VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

(3) VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。

(4) VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。

2.3 VHDL的设计流程:

(1) 设计输入根据电路设计所提出的要求,将程序输入到VHDL编辑器中去编辑。

(2) 功能级模拟用VHDL,模拟器对编辑后的程序进行模拟,如果达不到设计要求,则可以重新修改程序,直到通过功能模拟。

(3) 逻辑综合与优化将通过功能模拟的程序放到VHDL编译器中,进行逻辑综合与优化。

(4) 门级模拟对电路用VHDL。仿真器仿真。可对门级电路的延时、定时状态、驱动能力等进行仿真。如不符合要求,可重复步骤(3),再门级模拟,直到符合要求止。

(5) 版图生成用相应的软件处理后,就可以拿去制版。

3设计过程

3.1设计规划

根据系统设计要求,系统设计采用自顶向下的设计方法,系统的整体组装设计原理图如图3-1所示,它由乐曲自动演奏模块、音调发生模块和数控分频模块三部分组成。

图3-1 系统的整体组装设计原理图

3.2 各模块的原理及其程序

(1)乐曲自动演奏模块

乐曲自动演奏模块(AUTO.VHD)的作用是产生8位发声控制输入信号/当进行自动演奏时,由存储在此模块中的8位二进制数作为发声控制输入,从而自动演奏乐曲。VHDL源程序(AUTO.VHD)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY AUTO IS

PORT ( CLK : IN STD_LOGIC;

AUTO : IN STD_LOGIC;

CLK2 : BUFFER STD_LOGIC;

INDEX2 : IN STD_LOGIC_VECTOR(7 DOWNTO 0);

INDEX0 : OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END AUTO;

ARCHITECTURE BEHAVIORAL OF AUTO IS

SIGNAL COUNT0: INTEGER RANGE 0 TO 31;

BEGIN

PULSE0 :PROCESS(CLK,AUTO)

VARIABLE COUNT :INTEGER RANGE 0 TO 8;

BEGIN

IF AUTO ='1' THEN

COUNT := 0;CLK2<='0';

ELSIF(CLK'EVENT AND CLK ='1')THEN

COUNT :=COUNT +1;

IF COUNT =4 THEN

CLK2 <='1';

ELSIF COUNT =8 THEN

CLK2<='0'; COUNT:=0;

END IF ;

END IF ;

END PROCESS;

MUSIC:PROCESS(CLK2)

BEGIN

IF (CLK2'EVENT AND CLK2='1')THEN IF (COUNT0=31)THEN

COUNT0<=0;

ELSE

COUNT0<=COUNT0+1;

END IF ;

END IF ;

END PROCESS;

COM1:PROCESS(COUNT0,AUTO,INDEX2) BEGIN

IF AUTO ='0' THEN

CASE COUNT0 IS

WHEN 0=>INDEX0<="00000100"; --3 WHEN 1=>INDEX0<="00000100"; --3 WHEN 2=>INDEX0<="00000100"; --3 WHEN 3=>INDEX0<="00000100"; --3 WHEN 4=>INDEX0<="00010000"; --5 WHEN 5=>INDEX0<="00010000"; --5 WHEN 6=>INDEX0<="00010000"; --5 WHEN 7=>INDEX0<="00100000"; --6 WHEN 11=>INDEX0<="00000100"; --3 WHEN 12=>INDEX0<="00000010"; --2 WHEN 13=>INDEX0<="00000010"; --2 WHEN 14=>INDEX0<="00000001"; --1 WHEN 15=>INDEX0<="00000001"; --1

WHEN 16=>INDEX0<="00010000"; --5

WHEN 17=>INDEX0<="00010000"; --5

WHEN 18=>INDEX0<="00001000"; --4

WHEN 19=>INDEX0<="00001000"; --4

WHEN 20=>INDEX0<="00001000"; --4

WHEN 21=>INDEX0<="00000100"; --3

WHEN 22=>INDEX0<="00000010"; --2

WHEN 23=>INDEX0<="00000010"; --2

WHEN 24=>INDEX0<="00010000"; --5

WHEN 25=>INDEX0<="00010000"; --5

WHEN 26=>INDEX0<="00001000"; --4

WHEN 27=>INDEX0<="00001000"; --4

WHEN 28=>INDEX0<="00000100"; --3

WHEN 29=>INDEX0<="00000100"; --3

WHEN 30=>INDEX0<="00000010"; --2

WHEN 31=>INDEX0<="00000010"; --2

WHEN OTHERS =>NULL;

END CASE;

ELSE INDEX0<=INDEX2;

END IF;

END PROCESS;

END BEHAVIORAL;

(2)音调发生模块

音调发生模块的作用是产生音阶的分频预置值。当8位发声控制输入信号中的某一位为高电平时,则对应某一音节的数值将输出,该数值即为该音阶的分频预置值,分频预置值控制数控分频模块进行分频,由此可得到每个音阶对应的频率。

VHDL源程序(TONE.VHD)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY TONE IS

PORT (INDEX: IN STD_LOGIC_VECTOR(7 DOWNTO 0);

CODE: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);

HIGH: OUT STD_LOGIC;

TONE0: OUT INTEGER RANGE 0 TO 2047);

END TONE;

ARCHITECTURE ART OF TONE IS

BEGIN

SEARCH : PROCESS(INDEX)

BEGIN

CASE INDEX IS

WHEN "00000001"=>TONE0 <=773;CODE<="1001111";HIGH<='1';

WHEN "00000010"=>TONE0 <=912;CODE<="0010010";HIGH<='1';

WHEN "00000100"=>TONE0 <=1036;CODE<="0000110";HIGH<='1';

WHEN "00001000"=>TONE0 <=1116;CODE<="1001100";HIGH<='1';

WHEN "00010000"=>TONE0 <=1197;CODE<="0100100";HIGH<='1';

WHEN "00100000"=>TONE0 <=1290;CODE<="0100000";HIGH<='0';

WHEN "01000000"=>TONE0 <=1372;CODE<="0001111";HIGH<='0';

WHEN OTHERS =>TONE0<=2047;CODE<="0000001";HIGH<='0';

END CASE;

END PROCESS;

END ART;

(3)数控分频模块

数控分频模块是对时基脉冲进行分频,得到与1、2、3、4、5、6、7七个音符相对应的频率。

VHDL源程序(FENPIN.VHD)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY FENPIN IS

PORT(CLK1: IN STD_LOGIC;

TONE1: IN INTEGER RANGE 0 TO 2047; SPKS: OUT STD_LOGIC);

END ENTITY FENPIN;

ARCHITECTURE ART OF FENPIN IS

SIGNAL PRECLK:STD_LOGIC;

SIGNAL FULLSPKS:STD_LOGIC;

BEGIN

PROCESS(CLK1)

VARIABLE COUNT:INTEGER RANGE 0 TO 8; BEGIN

IF (CLK1'EVENT AND CLK1='1')THEN

COUNT:=COUNT +1;

IF COUNT=2 THEN

PRECLK<='1';

ELSIF COUNT =4 THEN

PRECLK<='0';COUNT:=0;

END IF ;

END IF ;

END PROCESS;

PROCESS(PRECLK,TONE1)

VARIABLE COUNT11:INTEGER RANGE 0 TO 2047; BEGIN

IF (PRECLK'EVENT AND PRECLK='1')THEN

IF COUNT11

COUNT11:=COUNT11+1;FULLSPKS<='1';

ELSE

COUNT11:=0;FULLSPKS<='0';

END IF ;

END IF ;

END PROCESS;

PROCESS(FULLSPKS)

VARIABLE COUNT2 :STD_LOGIC:='0';

BEGIN

IF (FULLSPKS'EVENT AND FULLSPKS='1')THEN

COUNT2:=NOT COUNT2;

IF COUNT2='1'THEN

SPKS<='1';

ELSE

SPKS<='0';

END IF ;

END IF;

END PROCESS;

END ART;

(4)顶层设计

VHDL源程序(DIANZIQIN.VHD)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY DIANZIQIN IS

PORT(CLK32MHZ: IN STD_LOGIC;

HANDTOAUTO:IN STD_LOGIC;

CODE1: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);--音符显示信号INDEX1: IN STD_LOGIC_VECTOR(7 DOWNTO 0);--键盘输入信号

HIGH1: OUT STD_LOGIC;--高低音节信号

SPKOUT: OUT STD_LOGIC);--音频信号

END;

ARCHITECTURE ART OF DIANZIQIN IS

COMPONENT AUTO

PORT(CLK: IN STD_LOGIC;

AUTO: IN STD_LOGIC;

INDEX2: IN STD_LOGIC_VECTOR(7 DOWNTO 0);

INDEX0: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END COMPONENT;

COMPONENT TONE

PORT(INDEX: IN STD_LOGIC_VECTOR(7 DOWNTO 0);

CODE: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);

HIGH: OUT STD_LOGIC;

TONE0: OUT INTEGER RANGE 0 TO 2047);

END COMPONENT;

COMPONENT FENPIN

PORT(CLK1: IN STD_LOGIC;

TONE1:IN INTEGER RANGE 0 TO 2047;

SPKS: OUT STD_LOGIC);

END COMPONENT;

SIGNAL TONE2:INTEGER RANGE 0 TO 2047;

SIGNAL INDX:STD_LOGIC_VECTOR(7 DOWNTO 0);

BEGIN

U0:AUTOPORTMAP(CLK=>CLK32MHZ,INDEX2=>INDEX1,INDEX0=>INDX,AUTO=>HANDTOAUTO); U1:TONEPORTMAP(INDEX=>INDX,TONE0=>TONE2,CODE=>CODE1,HIGH=>HIGH1);

U2:FENPIN PORT MAP(CLK1=>CLK32MHZ,TONE1=>TONE2,SPKS=>SPKOUT);

END ART;

4波形仿真

(1)乐曲自动演奏模块的仿真(如图4-1所示)

图4-1乐曲自动演奏模块的仿真图

(2)音调发生模块的仿真(如图4-2)

图4-2 音调发生模块的仿真图

(3)数控分频模块的仿真(如图4-3)

图4-3数控分频模块仿真图

(4)简易电子琴整个系统的仿真(如图4-4)

图4-4简易电子琴整个系统的仿真图

5 结束语

经过努力,简易电子琴的设计基本上完成了。在整个设计过程中,包括前期中期和后期,我都有着许多不同的体会:

1) 这个设计的基本是接触一门新的语言并加以应用,对于我来说,没有想到的是入手的速度比我的预料快,在以前编程的基础上,从接触到开始动手编程的时间得到了很大的缩短。知识的接收速度在很大的程度上决定了动手的时间。

2) VHDL的编程与C语言的编程有着本质的不同,然而以往形成的旧编程习惯在VHDL 编程中依然起着很大的作用。一通百通,不是没有道理的。对于学习新的知识并予以应用的信心,显得更足了。

3) VHDL的设计关键是电路逻辑设计,而一个程序的关键是总体设计。对于硬件设计接触不多的我们清楚这一点也许不无好处。

4)通过这个程序设计让我学会一种新的语言,对数字系统结构也有了更进一步的了解和认识,对我以后的学习有很大的帮助。希望其他人在看再做类似设计时有所借鉴。

通过几天的课程设计,我对数据库软件EDA技术、VHDL、等系列知识都有了一定的了解。使用EDA技术开发页面的能力也有了很大提高。

在整个设计过程中,有很多人对任务的完成给予了重要的支持和帮助。感谢老师给了我本次设计的机会并提供指导;感谢许多同学在我此课程设计遇到问题时给我的帮助使我能够顺利地进行设计的工作;论坛中有很多认识不认识的朋友也都为我的设计提出了很宝贵的建议,同样在这里感谢他们。

参考文献

《VHDL与数字电路设计》.卢毅,?赖杰.?科学出版社

《VHDL语言100例详解——北京理工大学ASIC研究所》.北京理工大学ASIC研究所.?清华大学出版社

《VHDL?程序设计》(第二版). 曾繁泰等.?清华大学出版社

《VHDL入门与应用》陈雪松,?滕立中?.人民邮电出版社?

《VHDL简明教程》.王小军?.清华大学出版社

简易电子琴课程设计

课程设计任务书学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采用运算放大 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原理并仿真实现系 统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

简易电子琴课程设计报告

摘要 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器,它在音奏中已成为不可缺少的一部分。本文主要介绍运用555定时器制作简易电子琴的设计方法。该方法利用555定时器构成多谐振荡器,通过按键控制不同的RC组合应用多谐振荡器产生不同频率八个基本音阶的脉冲信号波,然后连到扬声器上,即可发出八音阶的音乐。在该设计中,利用了555定时器构成的多谐振荡器产生各音阶不同频率的脉冲,不仅仅使其频率调节更加方便,而且发出的声音稳定、饱满。 前言 (1) 第一章设计内容及要求 (2) 1.1 设计的基本原理 (2) 1.2 设计要求 (2) 第二章系统组成及工作原理 (3) 2.1 系统组成 (3) 2.1.1 按键模块 (3) 2.1.2音调发生模块 (3) 2.1.3音响模块 (4) 2.2 工作原理 (4) 2.2.1 NE555多谐振荡器 (5) 2.2.2 LM386集成功率放大器 (7) 第三章方案比较 (8) 3.1 方案一 (8) 3.2 方案二 (9) 3.3方案三 (10) 3.4方案分析与比较 (11) 第四章参数计算、器件选择 (12) 4.1 参数计算 (12) 4.2 器件选择 (12) 第五章系统调试及测试结果分析 (14) 5.1 系统调试 (18) 关键词:简易电子琴,555定时器,多谐振荡器,八个基本音阶 目录

5.2 硬件调试···················································19 2 5.3 测试结果与分析 (19) 前言 随着当代科学设计的发展,电子产品在人们的日常生活中占据着越来越多重要的地位。电子琴作为其中的一个典型代表,引领着许多孩子进入音乐的殿堂。因此,我们选择了简易电子琴这个题目来制作,因为它不仅能过提高实际动手能力,还与实际生活有着紧密的联系。 模拟电子技术基本教程是一门实践性很强的课程,而此次课程设计依据的理论基础是模拟电子技术基本教程,其主要目的是通过本课程的培养,启发学生的创造性思维,进一步探究书本知识。本课程设计是设计出一个电子产品,先焊接好,再进行检验。 在电子课程设计的过程中,系统的概念十分重要,熟悉从系统的层次分析问题、解决问题的方式。基本方法除了实验课中要求掌握的功能测试、故障排除等各种一般方法以外、要特别注重使用“电路拼装”的方法。课程设计的一般步骤如下:(1)、选择一个课题;(2)、查阅有关资料;(3)、进行可行论证;(4)、通过设计方案的比较,定出最优的设计方案;(5)、分解为多个模块;(6)、分别设计各个功能模块电路,并完成调试;(7)、组装成完整的数字系统;(8)、编写设计、安装、调试报告。 1 第一章设计原理及要求 1.1 设计的原理 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 5.4 误差分析 (19) 实验小结及心得体会 (20) 结论······························································21 参考 文献·························································22 附录一····························································23 附录二···························································· 24 3

基于单片机的简易电子琴设计课程设计

基于单片机的简易电子琴设计课程设计

湖南文理学院 课程设计报告 课程名称:单片机课程设计 专业班级:自动化10102班17号学生姓名:肖葵 指导教师:王南兰 完成时间:2013年 6 月13 日报告成绩: 湖南文理学院制

摘要 随着社会的发展进步,音乐逐渐成为人们生活中很重要的一部分,有人曾说喜欢音乐的人不会向恶。我们都会抽空欣赏世界名曲,作为对精神的洗礼。本论文设计一个基于单片机的简易电子琴。人们对于电子琴如何实现其功能,如音色选择、声音强弱控制、节拍器、自动放音功能等等也很好奇。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演着重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘、扬声器等模块组成核心主控制模块,在主控模块上设有16个按键和扬声器。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。 关键词:AT89S51;音色节拍器;电子琴

ABSTRACT With the development of our society, music has become an important part of life. There’s a saying goes that people who likes music cannot be an evil. During our life, we often enjoy all kinds of music in the world to baptize our spirits. This thesis has designed a simple microcontroller-based electronic key board. We are curious about the foundation of electronic keyboard, such as the choice of timber, the control of volume, the metrononme and automatic playback. The keyboard is a product of modern electronic technology combined with music, it is a new type of keyboard instruments. And it plays an important role in modern music. Single chip has a powerful control functions and flexible programming characteristics. It has converged with modern people's lives, become an irreplaceable part. The main content is AT89S51 control of the core components, Design of an electronic organ, single chip as a host to the core, with the keyboard, speakers and other core modules main control module, in the main control module has 16 keys and speakers. Stability of the system, its advantages are simple hardware circuits, software functions, control system reliability, high cost performance and have certain practical and reference value. Key words : single chip MCU keyboard speaker electronic organ

基于51单片机简易电子琴的课程设计

基于51单片机简易电子琴 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。 2 任务要求与总体设计方案 2.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 2.2 设计方案 2.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率较小,且价格低廉,所以,被广泛应用。 2.2.2 按键控制模块

(完整版)基于51单片机简易电子琴的设计

电子琴的设计 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。一. 任务要求与总体设计方案 1.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 1.2 设计方案 1.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率

简易电子琴的设计与仿真.

proteus 7的使用及调试 定时器、中断、键盘接口数字电路、模拟电路的相关知识2、实现及编程 2.1程序框图 ) 2.2电路原理图

2.3程序内容 7个音调的产生方法 按k2让音调逆向输出 如何按k8+k2放出音乐和如何 让音乐停止 2.4汇编源程序 BUZZ EQU P2 BUZZ1 EQU P1.7 ORG OOOOH LJMP MAIN ORG 000BH LJMP INT_T0 ORG 001BH LJMP TIME1 ORG 8000H MAIN: MOV SP,#90H MOV BUZZ,#0FFH MOV TMOD,#11H SETB ET0 SETB ET1 SETB EA CLR TR0 START: MOV R0, BUZZ CJNE R0,#0FFH,KEY1 CLR TR0 SJMP START KEY1: CJNE R0,#0FEH,KEY2 MOV 30H,#0FBH MOV 31H,#0E9H LJMP SET_TIMER KEY2: CJNE R0,#0FDH,KEY3 MOV 30H,#0FCH MOV 31H,#5CH LJMP SET_TIMER KEY3: CJNE R0,#0FBH,KEY4 MOV 30H,#0FCH MOV 31H,#0C1H LJMP SET_TIMER KEY4: CJNE R0,#0F7H,KEY5 MOV 30H,#0FCH MOV 31H,#0EFH LJMP SET_TIMER KEY5: CJNE R0,#0EFH,KEY6 MOV 30H,#0FDH MOV 31H,#045H LJMP SET_TIMER KEY6: 囉* 祖 1IU ■□■1l ? ■CkUJUfiia ▼"■VZ AfiJkAAl ■E * F Wi -54 ■U A JM "bn iunTb —- P XF

课程设计简易电子琴

课程设计 课程名称 题目名称 专业班级 学生姓名 学号 指导教师 二○--年月日

目录 1 设计任务书 (1) 2 电路总体设计 (2) 3 各部分电路设计 (3) 3.1 R、C选频网络电路的设计 (3) 3.2 波形产生电路 (4) 4 Multisim仿真与数据分析 (7) 5总结 (9) 6致谢 (10) 参考文献 (11) 附录A 总原理图 (12) 附录B 系统元器件清单 (13)

1 设计任务书 设计目的 (1)熟悉数字电子技术课程设计的方法和思想 (2)熟悉仿真软件Multisim使用 (3)进一步理解555多谐振荡器在设计过程中的使用 (4)熟悉555多谐振荡器的应用 (5)熟悉简易电子琴的设计方法和过程 设计思路 (1)先查询简易电子琴的七个音(各包括低、中、高三个音)的频率 (2)再设置充电电阻和固定电容,根据公式算出每个音阶对应电阻的阻值,从而确定R C选频网络电路。 (3)用多谐振荡器产生矩形脉冲驱动蜂鸣器发出不同声音 (4)用仿真软件中的虚拟仪器示波器和频率计测量每一个音阶的波形和频率。

2 电路总体设计 本电路主要是由RC选频网络电路和555定时器构成的多谐振荡器组成。因为设计要实现电子琴dou、ruai、mi、fa、suo、la、si七个音的发声。而每一个音都对应一个频率和电阻,所以通过设计不同的电阻和电容组成R、C选频电路。每一个频率经过多谐振荡器都会产生一个矩形脉冲。因为是在仿真软件中无法实现电子琴发声,采用示波器测量矩形波形和用频率计测量每一个选频网络中对应的每一个频率,再和实际数据相比较来判定发出的声音是否准确。该电路实现了dou、ruai、mi、fa、suo、la、si(各包括低、中、高三个音)的发声仿真。实现了简易电子琴的设计。电路整体框图如下: 图2.1 基本方框图 该电路具有原理简单、容易制作、调试方便等特点。能实现二十一种频率的方波且能驱动喇叭C调的二十一个音阶。其中,稳压电源可以由电脑提供。

简易电子琴课程设计

简易电子琴电路的设计与制作一?实验目的 1、将课程的理论知识转换为技能。 2、掌握简易电子琴的组成原理及设计方法。 3、掌握集成555定时器应用电路的设计、制作与调试方法。 4、掌握制作电子电路的技能。 5、进一步熟悉常用电子器件的类型和特性,并掌握合理选用的原则, 掌握电子仪器的正确使用 6、学会电子电路的安装与调试技能。 7、学会撰写课程设计总结报告。 8、通过课程设计的综合训练,为以后毕业设计打下一定的基础二?实验设备 NE555两个、琴键开关8个(小体积)、按键开关1个、扬声器1个(5V蜂鸣器)、电容0.1μF1个、电容0.01μF1个电容4.7μF1个,、22μF1个、系列电阻:148kΩ、121kΩ、95kΩ、86kΩ、66kΩ、48kΩ、32kΩ、25kΩ、10 kΩ、1 kΩ、5 kΩ、2 kΩ、4.7kΩ若干。三极管9013、9012、9014各两个。φ3红色发光管8个。可调电阻(电位器)60~100 kΩ8个(参考型号104、105、103、504、503),面包板用独股线导线若干。大面包板1块,三联焊接板1块,焊锡、焊油若干。万用表一块、镊子一把、斜嘴一把。三?课程设计任务及要求 1、用555定时器和电子元件设计一个简易电子琴,实现C调八个音 1 阶1.2.3.4.5.6.7.i,具有一般演奏功能。 2、设计电路,按照音阶频率要求选择器件参数,各音阶对应的频率 为:1(264Hz)、2(297Hz)、3(330Hz)、4(352Hz)、5(396Hz)、6(440Hz)、 7(495Hz)、 i(528Hz)。

3、用琴键控制音阶,用指示灯显示发出音阶,按下琴键相应指示灯亮,发出准确的音阶音。 4、仿真验证设计电路,接通仿真电路琴键开关可以听到扬声器发出的准确音阶。 5、在面包板上搭接电路,调整电路参数,达到仿真音阶,做到用人耳识别,音色不失真。 6、设计电路板,组装、焊接、调试达到设计的仿真实验效果,使设计尽量成品化。 7、功能扩展:自动演奏功能、声音延续功能等。 8、完成设计报告 四?实验设计原理 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。简易电子琴主要使用555定时器和电子元件实现C调八个音阶 1.2.3.4.5.6.7.i,具有一般演奏功能。 2 以下为555定时器的结构及工作原理电路组成: 1).分压器:三个5kΩ电阻构成 2).比较器:两个比较器C1和电路组成: 3). 基本R-S触发器 4).放电晶体管T 5).输出缓冲门

简易电子琴课程设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采 用运算放大器构成振荡电路,用集成功放电路输出。已知八个基本音阶在C调时所对 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原 理并仿真实现系统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

单片机课程设计 简易电子琴设计

单片机课程 设计 课程设计名称 专学学 指 级 名 号 师导 课程设计时间

需求分析 1.1课题背景 随着社会的发展进步,音乐逐渐成为我们生活中很重要的一部分,有人曾说喜欢音乐的人不会向恶。我们都会抽空欣赏世界名曲,作为对精神的洗礼。本论 文设计一个基于单片机的简易电子琴。电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演着重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。电子科技也在不断的前进,电子技术正在以不同的方式改变着我们 的生活,电子琴设计也是希望给人们带来一些生活的乐趣。电子琴可以应用在很多方面,比 如一些简易的玩具上或手机上。 单片机技术使我们可以利用软硬件实 现电子琴的功能,从而实现电子琴的微型化。 本文主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴统硬件组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏想要表达的音乐。并且本文分别从原理图,主要芯片,各模块原理及各模块的程序的调试来详细阐述。 1.2课题设计的任务与主要内容 本文的主要内容是用AT89C51单片机为核心控制元件,设计一个简单的电子琴。以单片机作为主控核心,与键盘、扬声器等模块组成核心主控制模块,在主 控模块上设有1 6个按键和扬声器。定时器按设置的定时参数产生中断,由于定 时参数不同,就会发出不同频率的脉冲,不同频率的脉冲经喇叭驱动电路放大滤波后,就会发出不同音调。 先根据要求设计硬件电路和编写相应的程序,然后进行仿真调试,最后细心 焊接硬件电路图,将程序烤入芯片中,最终达到设计目的。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。

模电课程设计简易电子琴的设计

1. 模电课设概述 现在的电子琴一般使用PCM或AWM采样音源。所谓采样就是录制乐器的声音,将其数字化后存入ROM里,然后按下键时CPU回放该音。甚至有一些高级编曲键盘可以使用外置采样(比如Tyros 3的硬盘音色)。现代电子琴并非“模仿”乐器音色。它使用的就是真实乐器音色。当然,现在力度触感在电子琴里是必备的。而且现代电子琴还加上了老式电子琴的滤波器,振荡器,包络线控制来制造和编辑音色。甚至也带上了老式电子琴的FM 合成机构。 本次课程设计主要是通过对电子琴主体部分的电路进行模仿设计,按下不同琴键改变RC值,发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出音调,从而达到电子琴固有的基本功能。 2. Proteus软件简介 Proteus软件是由英国LabCenter Electronics公司开发的EDA工具软件,由ISIS和ARES两个软件构成,其中ISIS是一款便捷的电子系统仿真平台软件,ARES是一款高级的布线编辑器,它集成了高级原理布线图、混合模式SPICE电路仿真、PCB设计以及自动布线来实现一个完整的电子设计。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus软件的模拟仿真直接兼容厂商的SPICE模型,采用了扩充的SPICE3F5电路仿真模型,能够记录基于图表的频率特性、直流电的传输特性、参数的扫描、噪声的分析、傅里叶分析等,具有超过8000种的电路仿真模型。 Proteus软件支持许多通用的微控制器,如PIC、AVR、HC11以及8051;包含强大的调试工具,可对寄存器、存储器实时监测;具有断点调试功能及单步调试功能;具有对显示器、按钮、键盘等外设进行交互可视化仿真的功能。此外,Proteus可对IAR C-SPY、KEIL 等开发工具的源程序进行调试。 此外,在Proteus中配置了各种虚拟仪器,如示波器、逻辑分析仪、频率计,便于测量和记录仿真的波形、数据。 3. 简易电子琴基本原理 3.1 音乐产生原理 由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我

简易电子琴电路课程设计(模拟电路)

逗你玩 课程设计报告 课程名称:模拟电子技术课程设计 专业班级:电子信息工程(2)班 学生学号: 0705110931 学生姓名:夏柳 所属院部:信息技术学院 指导教师:王雪 20 08 ——20 09 学年第 2 学期

《模拟电子技术》课程设计报告 --------简易电子琴的制作 简易电子琴电路 摘要: 本课程设计以制作一个简易电子琴为最终结果,主要以硬件测试为主。首先进行电路分析,设计电路图,其次考虑所有可能出现的问题,完善电路图,再选择合适的器件,最后按照电路图线路搭试,调试测试,直至达到理想的目标。当然在这之前对焊点等要事先查阅资料,了解手工焊接技术;查阅有关4100芯片,741芯片的功能等参数,还有测试其芯片是否好坏的电路和方法;同时还要了解RC振荡电路,与其产生振荡的条件跟原理,选择稳幅电路,理解其稳幅的原理;当然还要计算八个音阶的产生的频率,再根据RC振荡电路计算电阻值,以便选择合适的电阻,这些都是课前准备。测试电子琴我们要一步一步的,首先是振荡电路的线路测试,其次选频电路的测试,功放电路的测试,最后再是总体测试,尽量消除噪音,使音质能够很清晰。这样电子琴我们就做好了。 关键图:

电子琴的主干图

第一部分:课前准备 1.1芯片性能指标 1.2手工焊接技术 1.3元件制作工艺 第二部分:设计方案及选定 2.1八个音阶的频率 2.2振荡电路的选择与设计 2.3八个电阻的选择 2.4稳幅方式的选择 2.5功率放大电路的设计 第三部分:简易电子琴电路的检测与误差分析 3.1芯片测试 3.2振荡电路测试 3.3电子琴的测试 第四部分:元器件清单 第五部分:心得体会 第六部分:参考文献

简易电子琴

电子工程学院课外学分设计报告 题目:简易电子琴设计 姓名:学号:35 专业:电子信息工程实验室:开放实验室班级:1211 设计时间:年月日——年月日 评定成绩:审阅教师:

目录 1. 设计任务、目的 (1) 2. 方案设计与论证(或基本原理与论证) (1) 3. 硬软件设计 (1) 4. 实现与测试(或调试) (5) 5.分析与总结 (6)

1. 设计任务、目的 1. 硬件电路设计(制作实物,行列键盘输入,至少21键,扬声器输出) 2. 驱动程序设计:扬声器驱动函数,键盘扫描函数 3. 结合驱动程序设计程序实现如下功能: - 按键发声 - 音乐播放(3首以上 2. 方案设计与论证(或基本原理与论证) 音乐是有由不同的音阶组成的,而不同的音阶又是由不同的频率发出的,那么产生不同的频率,就可以发出不同的音乐了。而利用单片机就可以产生不同的频率的方波,因此选择单片机为为主来设计。通过程序编写实现单片机输出不同的频率,输出的方波信号再通过功放输出声音。同时电子琴加入led用来显示。 本设计的主要工作是程序编写和焊电路板,通过程序让电子琴实现音乐演奏,歌曲播放以及记录已按下的音符,并播放,最后实现led显示。而硬件主要有单片机最小系统,键盘模块,发声模块,还有一个电源模块。 图2.1总体方案图 3. 硬软件设计 3.1硬件电路设计

图3.1硬件电路图 本系统有主控单片机、按键、led显示模块、扬声器模块以及电源组成。 1.单片机最小系统 单片机最小系统由STC89C51芯片、晶振、电容组成。 2.按键设计 按键采用4*6扫描;4根行线接P10-P13,六根列线接P14-P17以及P20,P21口共24个按键,0-20代表音符键,0-6代表低音1,2,3,4,5,6,7;7-13代表中音1,2,3,4,5,6,7; 14-20代表高音1,2,3,4,5,6,7;21号按键表示播放歌曲键,当按下21号键,进入播放歌曲函数,当按下22号键时,播放下一首歌曲,当按下23键时,退出播放返回主程序。而在主程序中时,代表演奏状态,当按下23号键时,进入录音状态,此时有个绿色的指示灯会亮。而进入录音后,再按一次23键,指示灯灭,退出录音状态,返回主程序。 3.Led灯设计 7个绿色的LED代表按键的音符DO,RE,MI...分别接到P0口的各个I端口音符DO 时,一个LED亮,音符MI时,2个LED亮…以此类推。有一个绿色LED指示当前状态,当电子琴处于录音时,LED亮,否则,灭。 4.扬声器模块 扬声器模块由扬声器、三极管和电阻组成。经过三极管的放大作用驱动扬声器发声。 3.2软件设计

最新简易电子琴的设计

题目: 简易电子琴的设计 初始条件: 1.运用所学的微机原理和接口技术知识; 2.微机原理和接口技术实验室的实验箱设备。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 使用汇编语言设计一个能够用键盘运行的电子琴,(实现一个以上功能): 1.弹奏:用户每按一个键盘琴键就弹奏相应的音符; 2.演奏:按下键盘上某一个指定的建后自动弹奏预存琴谱功能; 3.变调:按下键盘上某一指定键后,再弹奏和演奏时琴键相应的音符频率变高; 按下另一指定键后,再弹奏和演奏时琴键相应的音符频率变低; 4.严格按照课程设计说明书要求撰写课程设计说明书。 摘要 随着电子技术的发展,电子琴很为常见,硬件的设计很费人力与物力,因此软件的应用得到了很好的应用,这个简易电子琴设计采用了软硬件结合的方法完成了任务要求。应用了8255和8253的芯片,利用CPU,8086达到软硬件结合,从而实现电子琴的弹奏和演奏两种方式的应用。可以随心所欲控制电子琴是弹奏还是自动演奏。 此电子琴程序实现了: 1.弹奏:用户每按一个键盘琴键就弹奏相应的音符; 2.演奏:按下键盘上某一个指定的建后自动弹奏预存琴谱功能。 k0为控制键: 高电平时自动演奏。打到低电平时停止演奏。 低电平时可弹奏,K1,K2,K3,K4,K5,K6,K7分别为1,2,3,4,5,6,7

目录 ……………………………………………………………………………………………… 前言 第一章电子琴的设计要求和使用器件 1.1 初始条件 1.2 电子琴要完成的主要任务 1.3 电子琴课设的使用器件 1.4 设计方案的确定 第二章电子琴的硬件设计 2.1 电子琴的硬件框图 2.2 8255芯片的资料 2.3 8253芯片的资料 第三章电子琴的软件设计 3.1 程序流程图 3.2 发音程序 3.3 延时程序 3.4 停止发音程序 3.5 整体的软件汇编程序 第四章电子琴的调试和设计体会 4.1 硬件调试 4.2 软件调试 4.3 设计体会 第五章附录 5.1 参考文献 5.2 乐谱 5.3 成绩评定表 前言 随着电子技术的发展,计算机在现代科学技术的发展中起着越来越重要的 作用。多媒体技术、网络技术、智能信息处理技术、自适用控制技术、数据挖掘与处理技术等都离不开计算机。本课程设计是基于微机原理与接口技术的简单应用。微机技术目前运用最广泛的就是单片机。单片机是随着大规模集成电路的出现极其发展,将计算机的CPU,RAM,ROM,定时/计数器和多种I/O接口集成在一片芯片上,形成了芯片级的计算机,因此单片机早期的含义称为单片微型计算机(single chipmicrocomputer).它拥有优异的性价比、集成度高、体积小、可靠性高、控制功能强、低电压、低功耗的显著优点.主要应用于智能仪器仪表、工业检测控制、机电一体化等方面,并且取得了显著的成果.单片机应用系统可以分为:(1)最小应用系统是指能维持单片机运行的最简单配置的系统。这种系统成本低廉,结构简单,常构成一些简单

基于FPGA的简易电子琴设计

课程设计任务书

开题报告

皖西学院本科毕业论文(设计)中期检查表

简易电子琴的设计 学生姓名:王春指导老师:郑大腾 摘要 本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。多功能电子琴的设计是在原有普通电子琴的基础上进行扩充的一个设计。该电子琴的设计大体可以由三个模块构成,分别是电子琴发声模块、存储器模块和选择控制模块。用超高速硬件描述语言VHDL编程可以实现各个模块的功能。不仅能实现弹琴和演奏的功能,它还能实现“复读”的功能,就是可以存储任意一段音乐,并且可以即时的播放出来。系统实现是用硬件描述语言VHDL 按照模块化方式进行设计,然后进行编程、时序仿真、总体整合。本系统的功能比较齐全,有一定的现实使用的价值。本文中介绍了电子琴系统的整体的设计,并基于超高速硬件描述语言VHDL在相关的芯片上编程实现的。 关键字 电子琴;EDA;VHDL;音调发生;现场可编程逻辑器件FPGA;超高速硬件描述语言VHDL;电子琴系统; Abstract This system is designed using EDA technology a simple eight-note keyboard, the system clock divider based on the principle of the computer, using top-down design methodology to implement, it can be controlled through the key input audio. Multi-function keyboard is designed to be an ordinary keyboard in the original expansion on the basis of a design. The design of the keyboard in general consists of three modules, namely the keyboard sound

简易电子琴设计报告

电子技术课程设计报告 学院:电气与电子工程学院专业班级:电信班 学生姓名: 指导教师: 完成时间:2013 . 7 . 4 成绩:

简易电子琴设计报告 一. 设计要求 本设计是基于学校实验室的环境,根据实验室提供的实验条件来完成设计任务,设计一个简易电子琴。 (1).按下不同琴键即改变 RC值,能发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出。 (2).选择电路方案,完成对确定方案电路的设计。计算电路元件参数并记录对应不同音阶时的电路参数值、元件选择、并画出总体电路原理图,阐述基本原理。 (3).连接安装调试电路。 (4).写出设计总结报告。 二.设计条件 实验室为该设计提供的仪器设备和主要元器件如下: 电脑模拟、数字电子技术实验箱一台 集成运算放大器实验插板两块 直流稳压电源一台 数字万用表一块 主要元器件运放μA741、电阻、电容、导线等 电脑模拟、数字电子技术实验箱上有喇叭、三极管以及芯片的插座;集成运算放大器实验插板上有不同参数值的电阻和电容,可任意选用。 三. 设计的作用、目的 1.学会用仿真软件对设计的原理图进行仿真。培养创新能力和创新思维, 锻炼学生自学软件的能力,通过查阅手册和文献资料,培养独立分 析问题和解决问题的能力。 2.培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事

求是的科学态度和勇于探索的创新精神。 3.通过课程设计,使学生在理论计算、结构设计、工程绘图、查阅设计资 料、标准与规范的运用和计算机应用方面的能力得到训练和提高。 4.掌握电子电路的一般设计方法,了解电子产品研制开发过程,巩固、 深化和扩展学生的理论知识与初步的专业技能。 5.为今后从事电子技术领域的工程设计打好基础基本要求。 四.设计的具体实现 1.系统概述 本课程设计采用模拟电路中的RC正弦振荡原理。设计出的电子琴音阶频率满足国际标准,La调频率满足国际标准音C调频率440 Hz。模拟电路中的RC 正弦波振荡电路具有一定的选频特性,乐声中的各音阶频率也是以固定的声音频率为机理的。 简易电子琴是由RC选频网络、集成运算放大器、功率放大电路组成。其框图如图下所示: 其核心是集成运算放大器构成RC正弦波振荡器,实验板上提供了8个音节电阻和电容(C串=C并=0.068μf固定) 构成RC串并联选频网络,分别取不同的电阻值(通过琴键开关接通RC串并联网络的8对电阻)使振荡器产生八个音阶信号。最后,通过扬声器发出乐音。 2.单元电路设计(仿真)与分析 (1)八个音阶的频率 设计电子琴,就要进行八个音阶的调试。查阅资料得知C调各音的振荡频率如下表。

简易电子琴设计与实现

简易电子琴设计与实现 一、概述 1.1 课题设计目的及其意义 单片机(单片微型计算机)是大规模集成电路技术发展的产物,具有高性能、高速度、体积小、价格低廉、稳定可靠等特点。单片机的应用相当广泛,从平常的家用电器到航空航天系统和国防军事、尖端武器都能找到它的身影。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 随着社会的发展进步,人们的生活水平也逐步提高,音乐已经成为了我们生活中很重要的一部分,在工作和学习之余,欣赏音乐不仅使身心得到放松,同时也提高人们的精神品质和个人素养。当代,爱好音乐的年轻人越来越多,也有不少人自己练习弹奏乐器,作为业余爱好和一种放松的手段,鉴于一些乐器学习难度大需花费太多精力,且其价格太过于高昂,使得一部分有这种想法的人不得不放弃这种想法,而电子琴又是一种新型的键盘乐器,它是现代电子科技与音乐结合的产物,价格相对便宜,能够满足一般爱好者的需求,因此,在现代音乐中扮演着重要的角色。故简易电子琴的研制具有一定的社会意义。 1.2 课题设计的任务与主要内容 本文的主要内容是用AT89C51单片机为核心控制元件,设计一个简单的电子琴。以单片机作为主控核心,与键盘、扬声器等模块组成核心主控制模块,在主控模块上设有16个按键和扬声器。定时器按设置的定时参数产生中断,由于定时参数不同,就会发出不同频率的脉冲,不同频率的脉冲经喇叭驱动电路放大滤波后,就会发出不同音调。 先根据要求设计硬件电路和编写相应的程序,然后进行仿真调试,最后细心焊接硬件电路图,将程序烤入芯片中,最终达到设计目的。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。 具体实现的功能:按下音符键可以发出相应的音符。

简易电子琴课程设计报告超详细

广州大学机械与电气工程学院 电子信息工程系 课 程 设 计 报 告 课程名称:电子技术课程设计 设计题目:简易电子琴 专业班级:电子信息工程 2 班 设计者:苏伟强 学号: 51 06 指导教师:秦剑彭绍湖

设计所在学期: 2016~2017学年第 2 学期 设计所在时间: 2014年7月6日-12日 地点: 电子信息实验楼314 315 目录 一课程设计题目 (3) 1 题目分析理解 二设计任务及要求 (3) 1 要求 2 任务安排 3 进度安排 三电路设计 (4) 1 方案论证 2 单元电路设计与数据分析 文氏桥正弦波震荡电路 LM386组成的功率放大电路 3 确认理论参数 四电路仿真............................................................................. (13)

1 multisim仿真图 2 仿真结果 3 误差分析及总结 五元器件的选择......................................................................... .. (19) 1 元件分析 1 元件清单 六 PCB设计......................................................................... ..................................................错误!未定义书签。0 1 原理图设计 2 选择封装 3 生成PCB 七制作与调试......................................................................... (22) 1 电路板的热转印,焊接元器件 2 故障排除并且接通电源 3 调试过程 4 数据记录和分析 八试验中遇到的问题 (25) 1 仿真过程遇到的问题 2 制作PCB遇到的问题 3 电路调试的时候遇到的问题 九心得体会 (26) 十参考文献............................................................................. . (27)

相关主题
文本预览
相关文档 最新文档