当前位置:文档之家› 基于C语言的出租车计价器程序设计

基于C语言的出租车计价器程序设计

基于C语言的出租车计价器程序设计
基于C语言的出租车计价器程序设计

目录

1 前言 (3)

2 系统设计方案 (4)

2.1 系统的设计需求 (4)

2.2 系统的工作原理 (4)

3 系统硬件设计 (5)

3.1 单片机介绍 (5)

3.2 硬件组成 (8)

4 系统软件设计 (12)

5 仿真调试 (14)

6 结论 (16)

7 参考文献 (178)

8 心得体会及致谢 (20)

附录A 设计总图 (224)

附录B 源程序 (235)

1 前言

随着生活水平的提高,人们已不再满足于衣食住的享受,出行的舒适已受到越来越多人的关注[1]。相对于公共汽车拥挤与缓慢以及私家车高昂的费用,出租车无疑是一种低价高质的出行交通工具。近年来越来越多的人为了出行方便舒适愿意选择使用出租车,出租车行业得以快速发展[2]。

出租车计价器是出租车营运收费的专用智能化仪表,随着电子技术的发展,出租车计价器的技术在不断进步和提高[3]。最初的计价器计费模式较为单一,不便于在不同的运营环境下选取不同的计费模式。考虑到出租车行驶可能会出现从市区行驶到郊区或者行驶到偏僻地区,郊区或偏僻区打车的人少的现象,司机空车行驶返回成本较高,这时需要乘客为空车返回的路程承担一定的费用,这就需要区分单程/往返不同计价模式。“单程”模式是指目的地在郊区或者比较偏僻的地方,到达目的地后打车的人比较少,需要乘客乘客承担一定的空车返回的费用;“往返”模式是指目的地在市区,到达目的地后还会有乘客乘车返回,这种情况下司机不需要空车返回,乘客不需要承担回程费用。考虑到夜晚时出租车的灯光需要消耗能源以及司机夜间服务比白天较为辛苦,所以一般夜晚出租车的价格需要比白天高一点。

早期的出租车计价器均采用模拟电路和数字电路设计。计价器整体电路的规模较大,需要器件多,容易出故障,难调试。和模拟电路和数字电路设计相比而言,基于单片机进行设计的计价器,用较少的硬件和适当的软件相互配合就可以很容易的实现设计需求,硬件电路简单,稳定性好,灵活性强[4],通过软件编程就可以实现计费模式的切换。

2 系统设计方案

2.1 系统的设计需求

1、用数码管实时显示里程数及金额数。

2、出租车计价器计价器具有多种计费模式,白天/夜晚模式及单程/往返模式。

3、设计出租车计价器白天模式下起步公里数为3Km,价格为8元;若实际里程大于3Km,大于3Km的部分单程价格为2.4元/Km,返价格为1.6元/Km。

4、设计出租车计价器夜晚模式下起步公里数为3Km,价格为10元;若实际里程大于3Km,大于3Km的部分单程价格为3元/Km,返价格为2元/Km。

5、具有工作模式指示灯,指示当前工作模式状态。

出租车价目表如表1所示:

2.2 系统的工作原理

出租车计价是根据出租车所行驶的路程以及乘客乘车的方式综合计算的。出租车行驶路程在起步里程内按照起步价收费,超过起步里程时超出起步里程的路程按照相应模式下的单价收取附加费用,最后收取起步价及附加费用的总和。出租车的行驶路程可以通过车轮的周长乘以车轮旋转圈数得到。然后经过系统对相关数据的计算处理得出总的路程及计价金额,最后再通过显示电路将相关信息显示出来。

本设计采用AT89C51单片机作为系统核心处理器,以A44E霍尔传感器作为里程测量仪,设计控制按键以便选择相关的计费模式,并采用74HC138译码器进行地址译码为8位8段数码管提供片选码,采用8段数码显示出租车行驶的里程及应付总金额,通过LED指示灯指示出租车当前工作状态,便于乘客监督司机。利用单片机灵活的编程设计和丰富的I/O 端口,及其控制的准确性[4],不仅能实现基本的计价功能,而且能在很大程度上扩展功能,方便以后对系统进行升级。系统设计框图如图1所示:

图1 系统设计框图

里程测量是通过安装在车轮上的霍尔传感器A44E检测到的信号[5],送到单片机,经过处理输送到显示电路。车轮每转一圈,霍尔开关就检测并输出信号,引起单片机的中断,对脉冲计数[6]。

P3.4引脚作为信号的输入端,内部采用定时/计数器0计数[7],通过计算接收到的脉冲个数,计算出当前所行驶的路程。根据不同的收费模式,选择相应的起步价、单价等收费标准进行计算得出乘客应付总金额。假设出租车的车轮的周长是1m,那么出租车车轮旋转1000转,也就是行驶1Km(实际应用时以车轮实际周长计算,这里为了仿真方便假设车轮周长为1m)。通过对定时/计数器0的TL0和TH0的初值设置使得定时/计数器计数一定数量的脉冲时计数器溢出产生中断,在中断服务程序中完成里程计算。本设计为了仿真方便做如下设置TMOD=0x06,TL0=255 ,TH0=255;即单片机定时/计数器0工作于工作方式2,8位自动重装模式,作计数器使用,单片机收到一次脉冲触发一次中断,里程增加0.1Km。

通过按键能够实现启动、停止/结算以及选择不同的计费模式。显示电路使用8位LED 数码管来显示,左边4位显示行车里程,小数点后面一位为百米位,右边4为显示应付金额,小数点后面一位为角位。由于现实生活中一角使用的比较少,而五角钱使用的相对较多,为了司机与乘客之间交易方便,小数点后面的角位数字小于5的一律按5计算,大于5的一律向元位上进1。由于8位数码管静态显示需要许多I/O端口,和静态显示相比动态显示仅需要少量I/O端口就可以实现,所以这里采用动态显示方式。使用74HC138译码器对单片机输出的3位片选码进行译码并输送到8段LED片选端实现对8位LED的片选。

3 系统硬件设计

设计电路时,考虑到用霍尔传感器价格昂贵,且不便于试验检测仿真,在设计中采用一个模拟开关来代替。模拟开关一端接在P3.4引脚,另一端接地,通过来回高低电平的变化,每按一次,对应的里程数加0.1Km。通过程序计算出里程和金额的信息,再加上驱动电路的设计,就可以在数码管上分别显示总金额和总里程。

在显示方面,可以用液晶显示,也可以用数码管进行显示。由于液晶显示在距离一米多远以外就不怎么能看清楚[8],而数码管能清晰的显示、经济适用并且在这次设计中只需要显示里程和金额信息,所以本设计采用数码管进行显示。这样既节约了成本,又可以达到显示的目的。为了减少硬件的复杂度,设计中采用了动态显示方式。另外设计LED指示灯来实时指示各项状态,如出租车有客/待运状态,白天/夜晚状态,单程/往返状态,便于

乘客监督司机作弊。设计中设计了控制按键,能够很好的对出租车计价器控制,如启动/停止按键,白天/夜晚按键,单程/往返按键,清零按键等。

3.1 单片机介绍

AT89C51是一种带4K字节FLASH存储器的低电压、高性能CMOS 8位微处理器,俗称单片机。单片机的可擦除只读存储器可以反复擦除1000次。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器[9]。

3.2.1 AT89C51的特点

AT89C51具有以下几个特点:

·AT89C51与MCS-51系列的单片机在指令系统和引脚上完全兼容;

·全静态工作:0Hz~24MHz;

·三级程序存储器加密;

·数据保留时间:10年;

·片内有4k字节在线可重复编程快擦写程序存储器;

·128×8位内部RAM;

·32位双向输入输出线;

·五个中断源,两级中断优先级;

·两个十六位定时器/计数器;

·一个全双工的异步串行口;

·间歇和掉电两种工作方式。

3.2.2 AT89C51引脚功能

AT89C51单片机为40引脚芯片,其实物图与引脚图如图所示:

图2 AT89C51实物与引脚图

①.口线:P0、P1、P2、P3共四个八位口。

P0口是三态双向口,通称数据总线口,只有该口能直接用于对外部存储器的读/写操作。P0口也用以输出外部存储器的低8位地址。由于是分时输出,故应在外部加锁存器将此地址数据锁存,地址锁存信号用ALE。

P1口是一个内部提供上拉电阻的8位专门供用户使用的I/O口,是准双向口。

P2口是系统扩展时作高8位地址线用。不扩展外部存储器时,P2口也可以作为用户I/O 口线使用,P2口也是准双向口。

P3口是双功能口,该口的每一位均可独立地定义为第一I/O功能或第二I/O功能。作为第一功能使用时操作同P1口。

②.控制口线:PSEN(片外选取控制)、ALE(地址锁存控制)、EA(片外存储器选择)、RESET(复位控制);

③.电源:

VCC:供电电压。

GND:接地

3.2.3 设计中使用的管脚

VCC:接+5V电源。

GND:接地。

时钟引脚:XTAL1和XTAL2两端接晶振和30PF的电容,构成时钟电路。

RST:复位信号输入端,高电平有效。在此引脚加两个机器周期的高电平时,就可以完成复位操作。

P1.0:接启动/停止按键。

P1.1:接白天/夜晚按键。

P1.2:接单程/往返按键。

P1.3:接清零键。

P0口接数码管段选端,P2口接驱动芯片。

P3.4(T0):接模拟开关按键,替代了出租车计价器中的霍尔传感器。

3.2 硬件组成

硬件组成主要包括:时钟模块、复位模块、按键及指示模块、里程测量模块、显示驱动模块、显示模块。

3.2.1 时钟模块

单片机工作是以时钟控制信号为基准,内部电路在时钟信号的控制下,严格地按时序执行指令进行工作[10],为了保证系统内各部分稳定工作,系统需要一个稳定的时钟信号。

时钟电路产生振荡脉冲,经过二分频之后,为单片机提供时钟脉冲信号。振荡频率取决于石英晶体的振荡频率,频率范围可取1.2MHz—12MHz。C1、C2具有频率微调和稳定作用,电容值可取5~30pF。单片机运行速度取决于晶体振荡频率,晶体振荡频率越高,系统的时钟频率越高,单片机运行速度越快。本设计中使用的时钟电路,由两个约30PF的电容和12MHZ晶体振荡器组成,将晶体跨接在XTAL1和XTAL2两端。在整个系统中为系统各个部分提供基准频率信号,以防因其工作频率不稳定而影响相关设备工作的稳定性,晶振可以在电路中产生振荡电流,发出时钟信号。时钟模块的电路图如图所示。

图3 单片机时钟电路图

3.2.2 复位模块

单片机在启动时需要进行复位操作,使系统处于初始状态,并从这个状态开始工作。单片机的复位是由外部的复位电路实现的, 复位电路通常有两种复位模式,一是采用上电自动复位,二是按键手动复位[11]。上电自动复位是通过复位电路的电容充电来实现的。按键手动复位有电平方式和脉冲方式两种。复位信号是高电平有效,持续24个振荡脉冲周期(即二个机器周期)以上,即可完成复位操作。本次设计中采用手动复位的电平复位。

图4 单片机复位电路

3.2.3按键及指示模块

本设计中设有按键控制电路及LED指示部分,通过按键可以控制启动/停止计价以及根据乘客使用出租车情况选择不同的计费模式。LED指示模块可以实时的指示当前出租车所采用的计价模式状态,可以让乘客一目了然,监督司机,防止司机作弊。

按键控制电路中,单片机的P1.0引脚接启动/停止按键,通过软件编程,当按下按键计数器开始工作,开始计价,启动指示灯点亮,代表出租车出于有客状态;当弹起按键时,计数器停止工作,停止计价,结算路费,停止指示灯点亮,表示出租车出于待运状态。按下启动按键,开关处于导通状态,这时给P1.0送低电平信号,这时TR0=1,计数器开始工作,计数器溢出时触发中断对里程进行计算,主程序中调用计价子程序开始计价。为了方便乘客与司机结算,角位上不足5角的按照5角计算,大于5角的按照1元计算。P1.1管脚接白天/夜晚按键,通过软件编程,当按下按键时执行白天模式计价标准,白天模式指示灯点亮,当弹起按键时,执行夜晚模式计价标准,夜晚指示灯点亮。P1.2引脚接单程/往返按键,当按下按键时执行单程模式计价标准,相应的指示灯点亮,当弹起按键时执行往返模式计价标准,相应的往返指示灯点亮。清零按键接单片机的P1.3引脚,按下清零按键,P1.3为低电平,调用清零子程序,用于将显示数据以及里程及计价金额清零,以达到清零的目的,方便下次计价。

图5 控制按键及指示灯图

3.2.4 里程测量模块

霍尔传感器是根据霍尔效应制作的一种磁场传感器。霍尔效应是磁电效应的一种。霍

尔器件可以检测磁场及其变化,可在各种与磁场有关的场合中使用。霍尔传感器分为线型霍尔传感器和开关型霍尔传感器两种,本设计采用开关型霍尔元件。

A44E 集成霍耳开关由稳压器、霍耳电势发生器(即硅霍耳片)、差分放大器、施密特触发器和OC 门输出五个基本部分组成。在输入端输入电压Vcc ,经稳压器稳压后加在霍耳电势发生器的两端,根据霍耳效应原理,当霍耳片处在磁场中时,在垂直于磁场的方向通以电流,则与电流和磁场相垂直的方向上将会产生霍耳电势差VH 输出,该信号经放大器放大后送至施密特触发器整形,使其成为方波输送到OC 门输出[6]。当施加的磁场达到BOP 时,触发器输出高电压(相对于低电位),使三极管导通,此时OC 门输出端输出低电压,通常称这种状态为“开”。当施加的磁场达到“释放点”(即Brp )时,触发器输出低电压,三极管截止,使OC 门输出高电压,这种状态为“关”。这样两次电压变换,使霍耳开关完成了一次开关动作。

将霍尔传感器的集成电路安装在车轮上放的铁板上,将小磁铁安装在车轮上,旋转的车轮将磁铁对准集成电路时,霍尔传感器会输出一个脉冲信号。我们选择了P3.4引脚作为脉冲信号的输入端,单片机内部采用内部定时/计数器0计数触发中断。车轮每转一次,霍尔开关就检测并输出一个脉冲信号。单片机接收脉冲并对其计数,计数器溢出时产生中断,

通过处理中断服务程序计算出总路程及相应的应付金额。霍尔传感器的测距示意图如图所示:

图6 霍尔传感器的测距示意图

图7 脉冲输入

由于仿真软件中没有霍尔元件,这里使用按键开关或者脉冲发生器来替代霍尔元件仿真,为了仿真方便,设定每向P3.4引脚输入一个低电平脉冲,单片机内部产生一个中断,里程计数器加一,每次脉冲表示出租车前进100m 。

3.2.5 显示驱动模块

74HC138是常用的译码器。74HC138是一款高速CMOS器件,74HC138引脚兼容低功耗肖特基TTL系列。74HC138译码器可接受3位二进制加权地址输入(A, B和C),并当使能时,提供8个互斥的低有效输出(Y0至Y7)[11]。74HC138管脚图如图表所示:

图8 74HC138译器管脚图

通过74HC138译码器来给8位数码管提供片选码,使得8位数码管能够实现动态显示并且节约了I/O口资源。为了提供P0口驱动能力,在P0口设计上拉电阻。

出租车计费系统的设计好

1.所选题目:出租车计费器 2.设计要求:设计一个出租车计费器,能按路程计费,具体要求如下: (1)实现计费功能,计费标准为:按行驶里程计费,起步价为7.00元,并在汽车行驶2km 后按1.2元/km 计费,当里程数达到15km 后,没千米加收50%的空驶费,车辆停止和暂停时不计费。 (2)现场模拟汽车的启动、停止、暂停和换挡等状态。 (3)设计数码管显示电路,将车费和路程显示出来,各有1位小数。 3.设计原理:设计该出租车有启动键、停止键、暂停键和档位键。启动键为脉冲触发信号,当其为一个脉冲时,表示汽车已启动,并根据车速的选择和基本车速发出相应频率的脉冲(计费脉冲)以此来实现车费和路程的计数,同时车费显示起步价;当停止键为高电平时,表示汽车熄火,同时停止发出脉冲,此时车费和路程计数清零;当暂停键为高电平时,表示汽车暂停并停止发出脉冲,此时车费和路程计数暂停;档位键用于改变车速,不同的档位对应着不同的车速,同时路程计数的速度也不同。 4.模块设计:出租车计费器可分为两大模块,即控制模块和译码显示模块。系统框图如下: 5.电路符号:出租车计费器的输入信号有:计费时钟脉冲clk ;汽车启动键start ;汽车停止键stop ;档位speedup 。输出信号:7段显示控制信号seg7;小数点dp 。 6.具体设计流程: (1)根据出租车计费原理,将出租车计费部分由5个计数器来完成分别为counterA ,counterB ,counterC ,counterD ,counterE 。①计数器A 完成车费百位。②计数器B 完成车费十位和个位。③计数器C 完成车费角和分(显示时只显示角)。④计数器D 完成计数到20(完成车费的起步价)。⑤计数器E 完成模拟实现车行驶100 m 的功能。 计费时钟 档位 启动 控制模块 显示模块 暂停 停止

基于数电设计出租车里程计价器

电子技术课程设计报告题目:出租车里程计价器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月

出租车计价器课程设计 机械与电气工程学院自动化 1设计的任务与要求 1.1课程设计任务 (1)能够实现计程功能 (2)实现计费功能,计费标准为:按行驶里程收费,起步费为6.0元,并在车行3公里后再按2.0 元/公里,最高计费为99.9元,车停止不计费,能将车费显示出来。 1.2初始参数和要求 (1)74LS160计数器的简介 74LS160 是一个具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器,共有54/74160 和54/74LS160 两种线路结构型式。 (2)74LS85比较器的简介 74LS85比较器是一个4位数值比较器,它是由高位开始比较,逐位进行。若最高位已比较出大小,则以后各位大小都对比较结果没有影响;如果最高位相等,则比较次高位;同理,次高位已比较出大小,则以后各位大小对结果没有影响。如果4位比较都相等,则再看级联信号输入。级联输入信号是由低位比较器的输出而来。 (3)74LS283加法器的简介 74LS加法器是4为超前进位加法器,能够实现两个数值信号的相加。 (4)初始要求 电路能够实现初始设定,起步费为6.0元,并在车行3公里后再按2.0 元/公里计算,车停止不计费,能将路程及车费显示出来。 2出租车里程计价器设计方案制定 2.1系统工作原理 本设计方案系统的总体工作原理如图1所示。按行驶里程收费,起步费为6.0元,并在车行3公里后再按2.0 元/公里,最高计费为99.9元,车停止不计费,将路程及车费显示出来。

出租车计价器课程设计报告

昆明理工大学信息工程与自动化学院学生实验报告 (2012 —2013 学年第 2 学期) 课程名称:可编程逻辑器件设计开课实验室:信自楼 2013 年4月 11日

一、引言 汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。我们设计采用8086为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,输出采用8段数码显示管。 二、总体设计方案 利用8253作为定时/计数器,控制电机转速并测量电机的转数;8255作为输入输出接口,控制数码管显示启动后经过的里程数(小数点后取2位有效值)。 硬件连接说明: 直流电机控制器的基础上,增加了一个电机测速电路构成。 8255的B口作为数码管的笔划码驱动;C口的低4位作为段码控制,显示实际里程,同时,也用于输出键盘的列扫描码;PC6、PC7输入行扫描码,用于识别按键,程序中仅使用了PC6行上的四个按键,其定义如下: X1:启动/停止键;X2:加速键,与X3、X4键组合使用;X3:“+”键;X4:“-”键。 A口仅使用了PA0,输出PWM脉冲信号,通过驱动芯片驱动电机,其输出电压的高低与PWM脉冲的占空比成正比。 电机的转数,通过霍尔元件变换为脉冲个数,送入8253的通道2,计数后,将转数转换为里程数,最小单位为0.01公里,送CPU记录,结果由数码管显示出来。

出租车计价器系统完整版

智能电子产品设计与制作 课程设计(论文) 题目: 《出租车计价器系统设计》 学院:电气与电子信息工程学院 专业名称: 学号: 学生姓名: 同组成员: 指导教师: 课设时间:2011年5月23日—2011年6月10日 目录

一.设计目的 (2) 二.设计要求 (2) 三.系统结构 (2) 四.功能模块设计 (3) 五.软件设计 (5) 六.电路组装与调试 (6) 七.电路仿真 (7) 八.总结 (8) 八.附录 (9)

出租车计价器课程设计 一、设计目的 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以红外对管测转速,对实际里程的模拟,实现对出租车的多功能的计价设计,并采用AT24C01实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管。本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜和中途等待来调节单价。 二、设计要求 出租车计价器根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并在行程中同步显示车费值。从起步价开始,当汽车程行驶未满3公里时,均按起步价计算。过3公里后,实现每1公里单价收费,中间遇暂停时,计程数不再增加,开始计时收费,测距收费和测时收费的和便构成了一位乘客的车费。同时,白天和夜晚价格不同,可以进行切换。白天单价、夜晚单价、等待单价和起步价格都可通过独立键盘进行调节。(默认起步价为5元/3公里,里程单价白天为1.5元/公里,夜晚为1.8元/公里,等待计时单价为0.5元/5分钟) 三、系统结构 根据设计的要求选择实验的方案:采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。设计采用AT89S51单片机为主控器,以红外对管和电机测转速(按键替代),实现对出租车的基本的计价设计,并采用AT24C02实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管,相对液晶显示价格便宜,利用单片机丰富的I/O端口,及其控制的灵活性,实现基本的计价功能。 器系统结构图如下: 四、功能模块 1、单片机模块

简易出租车里程计费器

测控08级综合课程设计 题目:简易出租车里程计费器 摘要:本系统以STC89C52RC单片机为控制核心,辅以键盘调节、12864显示、蜂鸣器报警、车速模拟、车速检测及信息存储电路,实现了出租车计价器的行车里程和车速的实时检测与显示、计价金额显示以及价格预置等功能,满足了题目中基本部分及发挥部分的要求。在此基础上,还增加了断电保护、蜂鸣器警示、行驶里程等功能。该系统功能齐全,实用性强。经测试,计价器的各项显示指标均满足题目要求。 关键词:出租车里程计费器;STC89C52RC+;MOC70T2;;掉电保护;

Abstract: This system uses STC89C52 microcontroller as control core. With the keyboard control circuit, digital display circuit, beep alarm circuit, speed measureement circuit, speed detection circuit and information storage circuit,this system realizes function of the meter taxi driving mileage ,display and detection of real-time speed, valuation display and price set in advance, it meets all essential and additional requirement. Additional function such as power-off protection , beep alarm the print of mileage and consumption is added. This system is fully functioned and easy to implement. The measurement results show that all indicators of this taxi meter meet the requirement.

最新出租车计价器课程设计

出租车计价器课程设 计

大学 《单片机应用与仿真训练》设计报告 出 租 车 计 价 器 姓名: 学号: 3 姓名: 学号: 专业班级:自动化09-7班 指导老师: 所在学院:电气学院 2012年7月4 日 摘要

本设计基于AT89SC51单片机,采用霍尔传感器采集轮胎转数的信息,使用外部中断0将霍尔传感器采集的信息输入到单片机,实现对于出租车行驶里程的计算;对于中途等待以及红绿灯等待,使用定时器计时,并将时间转化为里程数以计费;输出设备采用数码管,本设计采用的是两个4位一体的共阴极数码管,输出里程数和费用;此外,本设计还设计了状态指示灯,用以指示计价器的工作状态和显示状态。 出租车计价器是出租车行业计费系统的核心,是出租车行业发展的重要标志,性能良好的计价器对于乘客和出租车行业都是必需的。 关键词:计价器、单片机、霍尔传感器

目录 1 概述 (4) 1.1 出租车计价器概述 (4) 1.2 单片机的概述 (4) 2 总体方案设计 (6) 2.1 设计任务要求 (6) 2.1.1 设计任务 (6) 2.1.2 设计要求 (6) 2.2 设计的主要功能 (6) 2.3 方案的选取 (7) 2.3.1 硬件设计方案 (7) 2.3.2 软件设计方案 (8) 3 硬件设计 (8) 3.1 AT89S52单片机及最小系统 (9) 3.2 测距单元 (11) 3.3 按键单元和状态显示单元 (14) 3.4 数码显示单元 (15) 4 软件设计 (17) 4.1 系统主程序 (17) 4.2 数据处理子程序 (18) 4.3 等待时间计时子程序 (19) 4.4 键盘扫描子程序 (20) 5 Proteus软件仿真 (21) 6课程设计过程中遇到的问题 (22) 7 课程设计体会 (23) 参考文献 (24) 附1、原程序代码 (25) 附2、系统原理图 (30)

出租车自动计费系统

课程设计

东北石油大学课程设计任务书 课程EDA技术课程设计 题目出租车自动计费系统 专业电子信息工程姓名邵文瀚学号080901140717 主要内容、基本要求、主要参考资料等 主要内容: 设计一个最大量程为99.99元的出租车自动计费,计费器具有行车里程计费、等候时间计费及起价三部分功能,并用数码管显示车费的数目。 基本要求: 1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99.99元; 2、行车里程单价1元/公里,等候时间单价0.5元/10分钟,起价3元(3公里起价)均能通过人工输入。 3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1.0元/公里,则脉冲当量为0.01元/脉冲。 4、用LED显示行驶公里数,两个数码管显示收费金额。 主要参考资料: [1] 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005. [2] 康华光主编.电子技术基础模拟部分. 北京:高教出版社,2006. [3] 阎石主编.数字电子技术基础. 北京:高教出版社,2003. 完成期限2011.3.11 指导教师 专业负责人 2011年3月7日

一、总体设计思想 1.基本原理 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,输出采用8段数码显示管。 大体设计思路为把传感器安装在车轮上,主要检测汽车行进的公里数,并产生一系列相应的脉冲输出,脉冲送到单片机进行处理,单片机根据程序设定通过计算脉冲数换算出行驶公里数,再根据从EEPROM中读取的价格等相关数据进行金额的计算,计算好的金额、里程和单价都实时地显示在数码管上。独立键盘可以调节价格等相关数据,按下相应的按钮,产生信号交由单片机处理并实时显示出来,调节好的数据存储到EEPROM中,掉电后可以使调好的数据不丢失,系统结构图如图。 2.设计框图 根据计费器设计要求,可将该系统分成四大模块,一块为计费模块,一块为时间计时模块,一块为路程控制模块,另一块为输出显示模块。

出租车里程计价器

1 设计任务描述 1.1设计题目:出租车里程计价器 1.2 设计要求 1.2.1 设计目的 (1) 掌握出租车里程计价器的构成,原理和设计原理; (2)熟悉集成电路的使用方法。 1.2.2 基本要求 (1) 设计秒信号脉冲产生器; (2) 行驶里程信号用传感器产生,出租车起价费为3公里8元,此后为每550米加收1元; (3) 等候时间为10分钟计价器加收1元,等候时间信号由时间产生; (4) 计价表的计数、寄存、译码显示系统;里程数的计数、寄存、译码显示系统。 1.2.3 发挥部分 (1) 白天、晚上的转换,晚上为3公里9元,此后每500米加收一元; (2) 空调使用时,为3公里9元,此后每500米加收一元。

2 设计思路 根据此次课程设计的要求,我设计的出租车里程计价器基本电路由四个部分组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器、译码器和显示器组成整体的电路系统。秒信号送入计数器进行计数,把累计的结果以“秒”的六百进制数字显示出来,构成等待计时部分。 一、等待计时: (1)震荡电路设计:可采用由集成电路定时器555与RC组成的多谐震荡器。选用555定时器构成多谐振荡器,振荡器的频率为1000赫兹。(2)分频电路设计:采用三片74LS90级联,每片10分频,最终得到1HZ的方波信号供秒计数器进行计数。(3) 秒信号送入计数器进行计数,把累计的结果以“秒”的六百进制数字显示出来。以上三部分构成等待计时电路。 二、公里计数: (1)传感器送来米信号脉冲,由计数器计数。(2)三公里比较电路设计:千位计数器输出送给数值比较器,进行比较,当大于或等于三时,数值比较器输出有效信号,送给价钱计数系统。(3)由显示译码器和显示器构成公里显示。以上三部分构成公里计数系统。 三、价钱计数: (1)由数值比较器送来有效脉冲,使三位550进制的计数器开始计数。(2)由两片计数器组成,低位计数器预置为8,前三位计数器计满向此进位,计数器加1。(3)由显示译码器和译码器构成价钱显示。以上三部分构成价钱计数系统。 四、夜晚/空调计价: (此部分为发挥部分) 由开关控制,开关闭合时此系统开始工作。(1)由数值比较器送来有效脉冲,使三位500进制的计数器开始计数。(2)由两片计数器组成,低位计数器预置为9,前三位计数器计满向此进位,计数器加1。(3)由显示译码器和译码器构成价钱显示。

出租车计价器 课程设计报告

软件学院 课程设计报告 课程 题目出租车计价器 班级集成13-4 专业集成电路设计与集成系统 学生学号 指导教师(填写自己班的指导教师) 年月日 1.课程设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的组合逻

辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 2.课程设计题目描述和要求 2.1.课程设计题目描述 ①.实现计费功能,计费标准为:按行驶里程计费,起步价为7.0元,并在车行3km 后按2.2元/km 计费,当计费器达到或超过20元时,每公里加收50%的车费,车停止不计费。 ②.现场模拟功能:以开关或按键模拟公里计数,能模拟汽车起动、停止、暂停等状态。 ③.将车费和路程显示出来,各有一位小数。 2.2.总体设计思路框架 2.3.课程设计题目要求 ①.设计正确,方案合理。 ②.程序精炼,结构清晰。 ③.设计报告含程序设计说明,用户使用说明,源程序清单及程序框图。 ④.上机演示。 ⑤.有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 3.设计思想和设计内容 3.1 出租车计费设计

该出租车计费器按下开关S1后开始计费和计里程数,起步价是7元,行驶3公里,以后2.2元/公里。并且设计选择模块,在车费超过20元每公里加收50%车费即3.3元/公里。 3.2 基本设计思想 出租车计费器根据设计要求可初步分为3方面——显示、记里程数、记费。之后再根据三方面分别设计模块。1.显示模块。一般计数器显示数字为1-F即16进制,而16进制不方便观看,所以在设计这一模块时我们将16进制改为10进制输出进而设计了译码模块。2、里程模块。设计要求对里程计数主要分为两个方面,计数以及公里数比较。即3公里之内收7元,3公里之后20元(通过计算为9公里)以内每公里2.2元,9公里以外为每公里3.3元。所以,我们将里程模块分为里程计数模块以为比较模块。3.计费模块。计费模块同里程比较模块所以将两个模块合二为一,为价格计算模块。 4.Verilog代码 4.1顶层模块 module taxi( clk,stop,rst_n, time1,time2,time3,time4, seg1,seg2,seg3,seg4 ); input clk; input stop; input rst_n; output [6:0]time1; output [6:0]time2; output [6:0]time3; output [6:0]time4;

出租车计价系统设计

分类号:200 届本科生毕业论文 题目:出租车计价系统设计 作者姓名:李小双 学号:2007080416 系(院)、专业:机械与电子工程学院 指导教师姓名:胡波 指导教师职称:讲师

宿州学院毕业设计出租车计价系统设计 200 年月日 摘要 摘要::出租车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。针对计费模式的切换,通过软件编程就可以轻易而举的实现。避免了机械开关带来的不稳定因素。 关键词:出租车计价器;单片机;控制 ABSTRACT Abstract:Taximeter is both passengers and drivers of the transaction criteria, it is the taxi industry an important symbol, a taxi is the most important tools. It relates to the interests of both transactions. Has a good performance regardless of the meter is a vast number of taxi drivers or passengers are very necessary. Therefore, the car meter is also very much a study of value. The use of analog circuits and digital circuit design of the meter as a whole circuit of a larger scale, using the device, a failure rate is high and difficult to debug, the pattern of switching need to use mechanical switches, mechanical switch will cause a long-time contacts Bad, functions not easy to achieve. SCM used for the design, a relatively powerful, with less hardware and appropriate software can easily coordinate with each other to achieve the design requirements, flexibility and strong, can be programmed by software to complete more of the additional Function. Pricing model for the switch, software programming and can easily cite the achievement. To avoid a mechanical switch the destabilizing factors. Keywords: Taximeter ;89 S51 MCU ;control

出租汽车里程计价表

电子技术课程设计任务书

电子技术课程设计任务书 2.对课程设计成果的要求〔包括图表、实物等硬件要求〕:设计电路,安装调试或仿真,分析实验结果,并写出设计说明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件选择要有计算依据。 3.主要参考文献: [1]谢自美。电子线路设计、实验、测试[M]华中理工大学,2001 [2] 彭介华. 电子技术课程设计指导[M]. 北京:高等教育出版社,1997 [3] 毕满清. 电子技术实验与课程设计[M]. 北京:机械工业出版社,1995 [4] 陈明义. 电工电子技术课程设计指导[M]. 长沙:中南大学出版社,2002 [5] 陈永甫. 新编555集成电路应用800例[M]. 北京:电子工业出版社2000 4.课程设计工作进度计划: 序号起止日期工作内容 1 2011-1-3 布置任务,教师讲解设计方法及要求 2 2011-1-4 学生查找阅读资料,并确定方案 3 2011-1-5 学生设计小组会议,讨论方案 4 2011-1-6~11 设计、仿真实验 5 2010-1-12~13 写说明书,小组讨论 6 2010-1-14 答辩 指导教师苏泽光日期: 2010 年 12 月日

目录 引言 (1) 1 系统设计 (2) 1.1设计内容 (2) 1.2设计目的 (2) 1 3设计要求 (2) 1.4设计思路 (2) 1.5设计框图 (2) 1.6设计所需的元件 (3) 2.工作原理 (3) 2.1总体构想 (3) 2.2 AT89S51单片机及其引脚说明 (3) 2.3 AT24C02引脚图及其引脚功能、掉电存储单元设计 (5) 2.4里程计算、计价单元的设计 (6) 2.5 按键单元的设计 (8) 2.6语音功能的设计 (8) 3.系统主程序设计 (8) 3.2 定时中断服务程序设计 (9) 3.3 里程计数中断服务程序设计 (9) 3.4 中途等待中断服务程序设计 (9) 3.5 显示子程序服务程序设计 (9) 3.6 键盘服务程序设计 (9) 4.系统测试 (11) 4.1 测试使用的仪器或软件 (11) 4.2 出租汽车里程计价表VHDL程序 (11) 4.2.1模块设计 (11)

单片机出租车计价器课程设计报告书

word完美格式 嵌入式系统基础 课 程 说 明 书 设计题目:出租车计价器 学院:太原理工大学现代科技学院 班级:电子信息 姓名: 学号:

一、设计题目: 出租车计价器 二、设计思路: 如今单片机由于其体积小,性能完善,所以广泛应用于各个行业中。在出租车上,计价器便采用了单片机的应用技术,采用一系列的外部设备将出租车行驶以及停车等各个状态输入单片机,通过程序加以运算控制,再输出显示以及打印等。 我们试着用所学的知识,设计并模拟出租车计价器的工作方式,通过实践来掌握应用设备的工作原理。 三、设计任务 ●出租车计价器需要的主要外部设备有公里计数器,以及显示、定时装置,通 过线路连接构成一个完整的系统。 ●公里计数器设想:利用光电或者霍尔效应原理对车轮转过360°角位移产生 一个脉冲信号送给单片机作为一定距离的计数值。 ●显示器:用LED显示,动态扫描显示,不断输出即时价格已及时间。 ●定时装置(本设计中未引入):记录在停车或某些情况下的时间,用于辅助 计价。 四、里程计数器的原理(光电式) 在与车轴同步的轴上装有一隔光盘,上仅有以窄缝可以通光。在隔光盘两侧分别装有与车体固定的光源和感光元件。当隔光盘随轴转动时,光源发出的光被隔光盘隔离,只有在窄缝处感光元件接收到光信号,对后续电路产生一个脉冲。这就是对里程转换为计数值的基本原理。 其产生的脉冲信号则作为单片机计数器的输入信号,当单片机中计数器计到某一数量即为车行驶一公里,并对价格进行增加。在没有实际对这样计数装置试用,只能对其原理进行分析,在之下的程序设计中只取其脉冲信号作为单片机输入信号(P3.4)。

五、LED显示电路 对设计总体分析下,只需对其价格进行显示,选用四个LED数码管分别显示价格的百位、十位、个位以及十分位(单位:元)。显示方式采用动态扫描的方式,数据输出为P0口,位选为P2口,共阴极(如图)。 P0.7-P0.0 数码管输出数据 P2.7-P2.4 数码管位选 六、计价器的计价要求 ●计价方式:起价(两公里)白天8元,晚上8.6元;由一开关进行选择。每 公里1.5元。 ●转数与公里数:汽车轮胎周长近似为1.5米,故一百米需要转过67圈,计 67个数产生一个溢出中断。 七、电路原理图及引脚

出租车计费系统

课程设计报告项目名称:出租车计价器设计与制作 课程名称:单片机技术 二级学院:电气与电子工程学院 系:电气工程系 班级:14电气4班 学号:29 学生姓名:黄凌周 小组成员:刘智超黄凌周 指导教师:钟立华 成绩:

报告完成日期2016年12月20日 目录 摘要2 1 课程设计任务书3 1.1 课程设计任务3 1.2 课程设计方案3 2硬件电路设计4 2.1 振荡电路4 2.2 复位电路设计5 2.3 键盘接口电路5 2.4 显示电路6 2.4.1 1602LCD的基本参数及引脚功能6 2.4.2 显示模块采用1602液晶显示接口电路7 2.5 单片机各引脚功能说明7 3软件设计10 3.1 单片机资源使用10 3.2 单片机软件模块设计10 3.2.1 中断子函数10 3.2.2 判键子函数11 3.2.3 显示子程序11 3.3 总程序流程框图12 总结13 参考文献14 附录1 元件件清单15

附录2原理图16 附录3 程序清单17 摘要 本设计的是一个基于单片机STC89C52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时字符型液晶1602显示最初的起步价,里程收费,等待时间收费三种收费,通过按键可以调整起步价,里程收费,等待时间收费。通过按键模拟出租车的运行,暂停,停止。在1602液晶上可以显示运行的时间,运行时暂停的时间,通过计算可以得出总共的费用和总的路程。在这里主要是以STC89C52单片机为核心控制器,P1口接1602液晶显示模块。 关键字STC89C52;1602液晶;出租车计费器

出租汽车里程计价表

出租汽车里程计价表

电子技术课程设计任务书 2.对课程设计成果的要求〔包括图表、实物等硬件要求〕: 设计电路,安装调试或仿真,分析实验结果,并写出设计说明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件选择要有运算依据。 3.要紧参考文献: [1]谢自美。电子线路设计、实验、测试[M]华中理工大学,2001 [2] 彭介华. 电子技术课程设计指导[M]. 北京:高等教育出版社,1997 [3] 毕满清. 电子技术实验与课程设计[M]. 北京:机械工业出版社,1995 [4] 陈明义. 电工电子技术课程设计指导[M]. 长沙:中南大学出版社,2002 [5] 陈永甫. 新编555集成电路应用800例[M]. 北京:电子工业出版社2000 4.课程设计工作进度打算: 序号起止日期工作内容 1 2018-1-3 布置任务,教师讲解设计方法及要求 2 2018-1-4 学生查找阅读资料,并确定方案 3 2018-1-5 学生设计小组会议,讨论方案 4 2018-1-6~11 设计、仿真实验 5 2018-1-12~13 写说明书,小组讨论 6 2018-1-14 答辩 指导教师苏泽光日期: 2018 年 12 月日

目录 引言 (1) 1系统设计 (2) 1.1设计内容 (2) 1.2设计目的 (2) 1 3设计要求 (2) 1.4设计思路 (2) 1.5设计框图 (2) 1.6设计所需的元件 (3) 2.工作原理 (3) 2.1总体构想 (3) 2.2AT89S51单片机及其引脚说明 (3) 2.3 AT24C02引脚图及其引脚功能、掉电储备单元设计 (5) 2.4里程运算、计价单元的设计 (6) 2.5 按键单元的设计 (8) 2.6语音功能的设计 (8) 3.系统主程序设计 (8) 3.2 定时中断服务程序设计 (9) 3.3 里程计数中断服务程序设计 (9) 3.4 中途等待中断服务程序设计 (9) 3.5 显示子程序服务程序设计 (9) 3.6 键盘服务程序设计 (9) 4.系统测试 (11) 4.1 测试使用的仪器或软件 (11) 4.2 出租汽车里程计价表VHDL程序 (11) 4.2.1模块设计 (11)

单片机出租车计价器课程设计

单片机出租车计价器课程 设计 This manuscript was revised on November 28, 2020

嵌入式系统基础 课 程 说 明 书 设计题目:出租车计价器 学院:太原理工大学现代科技学院 班级:电子信息 姓名: 学号: 一、设计题目: 出租车计价器 二、设计思路: 如今单片机由于其体积小,性能完善,所以广泛应用于各个行业中。在出租车上,计价器便采用了单片机的应用技术,采用一系列的外部设备将出租车行驶以及停车等各个状态输入单片机,通过程序加以运算控制,再输出显示以及打印等。 我们试着用所学的知识,设计并模拟出租车计价器的工作方式,通过实践来掌握应用设备的工作原理。 三、设计任务

出租车计价器需要的主要外部设备有公里计数器,以及显示、定时装置,通过线路连接构成一个完整的系统。 公里计数器设想:利用光电或者霍尔效应原理对车轮转过360°角位移产生一个脉冲信号送给单片机作为一定距离的计数值。 显示器:用LED 显示,动态扫描显示,不断输出即时价格已及时间。 定时装置(本设计中未引入):记录在停车或某些情况下的时间,用于辅助计价。 四、里程计数器的原理(光电式) 在与车轴同步的轴上装有一隔光盘,上仅有以窄缝可以通光。在隔光盘两侧分别装有与车体固定的光源和感光元件。当隔光盘随轴转动时,光源发出的光被隔光盘隔离,只有在窄缝处感光元件接收到光信号,对后续电路产生一个脉冲。这就是对里程转换为计数值的基本原理。 其产生的脉冲信号则作为单片机计数器的输入信号,当单片机中计数器计到某一数 量即为车行驶 一公 里,并 对价格 进行增 加。在 没有实际对这样计数装置试用,只能对其原理进行分析,在之下的程序设计中只取其脉冲信号作为单片机输入信号()。 五、LED 显示电路 对设计总体分析下,只需对其价格进行显示,选用四个LED 数码管分别显示价格的百位、十位、个位以及十分位(单位:元)。显示方式采用动态扫描的方式,数据输出为P0口,位选为P2口,共阴极(如图)。 六、计价器的计价要求 计价方式:起价(两公里)白天8元,晚上元;由一开关进行选择。每公里元。 转数与公里数:汽车轮胎周长近似为1.5米,故一百米需要转过67圈,计67个数产生一个溢出中断。 七、电路原理图及引脚 八、源程序 ORG 0000H ;起始地址 AJMP START ORG 001BH ;计数中断地址 LJMP CNT1 ORG 0030H ;主程序地址 START: MOV PSW, #00H MOV IE, #88H ;IE=B MOV TMOD, #60H ;TMOD=01100000B ,T1工作方式2 数码管输出数据 数码管位选 显示小数点(长亮) 计价器工作开关 白天/夜间切换 计数脉冲输入

出租车计价器课程设计

摘要 本设计的是一个基于单片机STC89C52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时字符型液晶1602显示最初的起步价,里程收费,等待时间收费三种收费,通过按键可以调整起步价,里程收费,等待时间收费。通过按键模拟出租车的运行,暂停,停止。在1602液晶上可以显示运行的时间,运行时暂停的时间,通过计算可以得出总共的费用和总的路程。在这里主要是以STC89C52单片机为核心控制器,P1口接1602液晶显示模块。 关键字STC89C52;1602液晶;出租车计费器 》 @

第一章绪论 出租车计价器概述 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 / 本次设计的目的在于现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。 单片机的概述 计算机系统已明显地朝巨型化、单片化、网络化三个方向发展。巨型化发展的目的在于不断提高计算机的运算速度和处理能力,以解决复杂系统计算和高速数据处理,比如系统仿真和模拟、实时运算和处理。单片化是把计算机系统尽可能集成在一块半导体芯片上,其目的在于计算机微型化和提高系统的可靠性,这种单片计算简称单片机。单片机的内部硬件结构和指令系统主要是针对自动控制应用而设计的所以单片机又称微控制器MCU(Micro Controller Unit)。用它可以很容易地将计算机嵌入到各种仪器和现场控制设备中,因此单片机又叫做嵌入式微控制器(Embedded MCU)。单片机自20世纪70年代问世以来,以其鲜明的特点得到迅猛发展,已广泛应用于家用电器、智能玩具、智能仪器仪表、工业控制、航空航天等领域,经过30多年的发展,性能不断提高,品种不断丰富,已经形成自动控制的一支中坚力量。据统计,我国的单片机年容量已达1~3亿片,且每年以大约16%的速度增长,但相对于国际市场我国的占有率还不到1%。这

EDA课程设计(论文)-出租车计费系统verilog语言模板

摘要 摘要: 出租车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现。而采用FPGA进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。针对计费模式的切换,通过软件编程就可以轻易而举的实现。避免了机械开关带来的不稳定因素。 设计好之后,用1602液晶显示器,显示你想要显示的数据。 关键词:出租车计价器控制 1602

目录 绪论 (3) 第一章系统设计 (4) 1出租车计费系统的概述: (4) 2设计的意义 (4) 3统设计要求 (4) 第二章系统设计方案 (5) 第三章主要模块 (6) 1 时间模块: (6) 2路程模块: (7) 3计费模块: (8) 4速度模块: (9) 5总的框图为: (10) 第四章硬件实现 (11) 1系统的调试方法 (11) 2系统调试的软/硬件 (11) 3 系统调试显示结果 (11) 小结 (13) 谢辞 (14) 参考文献: (15) 附录 (16) 程序: (16)

绪论 近年来,我国出租汽车行业迅猛发展,出租汽车已成为我国城市公共交通的重要组成部分和现代化城市必备的基础设施,成为人们工作、生活中不可缺少的交通工具。它对繁荣经济、促进发展、方便群众、改善交通起到了积极作用。出租汽车对我国人民物质和文化生活影响之大、作用之广是前所未有的。出租汽车行业的服务水平和程度已经成为现代化的重要标志。 出租汽车服务行业和出租汽车计价器紧密相关,因为出租汽车必须安装出租汽车计价器才能投入营运。出租汽车计价器是一种能根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并直接显示车费值的计量器具。计价器是出租汽车的经营者和乘坐出租汽车的消费者之间用于公平贸易结算的工具,因而计价器量值准确与否,直接关系到经营者和消费者的经济利益,用户不仅要求计费器性能稳定,计费准确,有防作弊功能;同时还要求其具有车票资料打印、IC卡付费、语音报话、和电脑串行通信等功能,而这些与电子技术的发展是分不开的。 二十世纪后半期,随着集成电路和计算机技术的飞速发展,数字系统也得到了飞速发展,其实现方法经历了由分立元件、SSI、MSI到LSI、VLSI以及UVLSI 的过程。同时为了提高系统的可靠性与通用性,微处理器和专业集成电路(ASIC)逐渐取代了通用全硬件LSI电路,而ASIC以其体积小、重量轻、功耗低、速度快、成本低、保密性好而脱颖而出。

出租车里程计价表

数字逻辑课程设计 课题名称出租车里程计价表 班级 姓名 指导教师 日期

引言 汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。 针对仿真,用EWB可以轻易而举的实现。避免了机械开关带来的不稳定因素。我们此次的设计就是运用EWB。 随着生活水平的提高,人们已不再满足于衣食住的享受,出行的舒适已受到越来越多人的关注。于是,出租车行业以低价高质的服务给人们带来了出行的享受。但是总存在着买卖纠纷困扰着行业的发展。而在出租车行业中解决这一矛盾的最好方法就是改良计价器。用更加精良的计价器来为乘客提供更加方便快捷的服务。 现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。

目录 ●第一章概论 1.1 方案的论证和选择………………………………………………………………………()1.2 加法器的介绍及其十进制系数乘法器…………………………………………………()1.3 设计方案及其原理分析…………………………………………………………………() 1.4总电路图…………………………………………………………………………………() ●第二章单元电路设计与分析 2.1 部分部件及其原理………………………………………………………………………()2.2秒信号发生器及等候计时电路…………………………………………………………() 2.3 七段显示译码管74LS48…………………………………………………………………() 2.4数码管……………………………………………………………………………………() ●第三章 EWB(MultiSim)软件简单介绍 3.1 EWB的概述……………………………………………………………………………() 3.2 EWB的仿真方法…………………………………………………………………………() ●结语 ●致谢 ●参考文献 ●附录

相关主题
文本预览
相关文档 最新文档