当前位置:文档之家› 并行乘法器-南京理工大学紫金学院vhdl实验报告-eda

并行乘法器-南京理工大学紫金学院vhdl实验报告-eda

并行乘法器-南京理工大学紫金学院vhdl实验报告-eda
并行乘法器-南京理工大学紫金学院vhdl实验报告-eda

EDA技术与应用

实验报告

实验名称:并行乘法器

姓名:

学号:

班级:通信

时间:2013

南京理工大学紫金学院电光系

一、实验目的

1、学习包集和元件例化语句的使用。

2、学习FLU(全加器单元)电路的设计。

3、学习并行乘法电路的设计。

二、实验原理

并行乘法器的电路原理图如下图所示,主要由全加器和与门构成。

并行乘法器原理图

三、实验内容

1、and_2

library ieee;

use ieee.std_logic_1164.all;

entity and_2 is

port (a,b:in std_logic;

y:out std_logic);

end and_2;

architecture and_2 of and_2 is

begin

y <= a and b;

end and_2;

2、fau

library ieee;

use ieee.std_logic_1164.all;

entity fau is

port (a,b,cin:in std_logic;

s,cout:out std_logic);

end fau;

architecture fau of fau is

begin

s <= a xor b xor cin;

cout <= (a and b)or(a and cin)or(b and cin);

end fau;

3、top_row

library ieee;

use ieee.std_logic_1164.all;

use work.my_components.all;

entity top_row is

port (a:in std_logic;

b:in std_logic_vector(3 downto 0);

sout,cout:out std_logic_vector(2 downto 0);

p:out std_logic);

end top_row;

architecture structural of top_row is

begin

U1: component and_2 port map(a,b(3),sout(2));

U2: component and_2 port map(a,b(2),sout(1));

U3: component and_2 port map(a,b(1),sout(0));

U4: component and_2 port map(a,b(0),p);

cout(2) <= '0';cout(1) <= '0';cout(0) <= '0';

end structural;

4、mid_row

library ieee;

use ieee.std_logic_1164.all;

use work.my_components.all;

entity mid_row is

port (a:in std_logic;

b:in std_logic_vector(3 downto 0);

sin,cin:in std_logic_vector(2 downto 0);

sout,cout:out std_logic_vector(2 downto 0);

p:out std_logic);

end mid_row;

architecture structural of mid_row is

signal and_out:std_logic_vector(2 downto 0);

begin

U1: component and_2 port map(a,b(3),sout(2));

U2: component and_2 port map(a,b(2),and_out(2));

U3: component and_2 port map(a,b(1),and_out(1));

U4: component and_2 port map(a,b(0),and_out(0));

U5: component fau port map(sin(2),cin(2),and_out(2), sout(1), cout(2));

U6: component fau port map(sin(1),cin(1),and_out(1), sout(0), cout(1));

U7: component fau port map(sin(0),cin(0),and_out(0), p, cout(0));

end structural;

5、lower_row

library ieee;

use ieee.std_logic_1164.all;

use work.my_components.all;

entity lower_row is

port (sin,cin:in std_logic_vector(2 downto 0);

p:out std_logic_vector(3 downto 0));

end lower_row;

architecture structural of lower_row is

signal local:std_logic_vector(2 downto 0);

begin

local(0) <= '0';

U1: component fau port map(sin(0),cin(0),local(0), p(0),local(1));

U2: component fau port map(sin(1),cin(1),local(1), p(1),local(2));

U3: component fau port map(sin(2),cin(2),local(2), p(2),p(3));

end structural;

6、my_components

library ieee;

use ieee.std_logic_1164.all;

package my_components is

component and_2 is

port (a,b:in std_logic; y:out std_logic);

end component;

component fau is

port (a,b,cin:in std_logic; s,cout:out std_logic); end component;

component top_row is

port (a:in std_logic;

b:in std_logic_vector(3 downto 0);

sout,cout:out std_logic_vector(2 downto 0);

p:out std_logic);

end component;

component mid_row is

port (a:in std_logic;

b:in std_logic_vector(3 downto 0);

sin,cin:in std_logic_vector(2 downto 0);

sout,cout:out std_logic_vector(2 downto 0);

p:out std_logic);

end component;

component lower_row is

port (sin,cin:in std_logic_vector(2 downto 0);

p:out std_logic_vector(3 downto 0));

end component;

end my_components;

7、multiplier

library ieee;

use ieee.std_logic_1164.all;

use work.my_components.all;

entity multiplier is

port (a,b:in std_logic_vector(3 downto 0);

prod:out std_logic_vector(7 downto 0));

end multiplier;

architecture structural of multiplier is

type matrix is array (0 to 3)of

std_logic_vector (2 downto 0);

signal s,c:matrix;

begin

U1: component top_row port map (a(0),b,s(0),c(0),

prod(0));

U2: component mid_row port map (a(1),b,s(0),c(0),s(1), c(1),prod(1));

U3: component mid_row port map (a(2),b,s(1),c(1),s(2), c(2),prod(2));

U4: component mid_row port map (a(3),b,s(2),c(2),s(3), c(3),prod(3));

U5: component lower_row port map(s(3),c(3),

prod(7 downto 4));

end structural;

8、仿真

9、把multiplier代码改为百位、十位、个位输出代码如下:library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use work.my_components.all;

entity multiplier is

port (a,b:in std_logic_vector(3 downto 0);

hun,ten,one:out std_logic_vector(3 downto 0)); end multiplier;

architecture structural of multiplier is

type matrix is array (0 to 3)of

std_logic_vector (2 downto 0);

signal s,c:matrix;

signal p:std_logic_vector(7 downto 0);

begin

U1: component top_row port map (a(0),b,s(0),c(0),

p(0));

U2: component mid_row port map (a(1),b,s(0),c(0),s(1), c(1),p(1));

U3: component mid_row port map (a(2),b,s(1),c(1),s(2), c(2),p(2));

U4: component mid_row port map (a(3),b,s(2),c(2),s(3), c(3),p(3));

U5: component lower_row port map(s(3),c(3),

p(7 downto 4));

process(p)

variable temp:std_logic_vector(7 downto 0);

begin

if p >"1100_0111" then

hun <="0010";

temp:=p-"1100_1000";

elsif p>"0110_0011" then

hun <="0001";

temp:=p-"0110_0100";

else

hun <="0000";

temp:=p;

end if;

if temp>"0101_1001" then

ten <="1001";

temp:=temp-"0101_1010"; elsif temp>"0100_1111" then ten <="1000";

temp:=temp-"1010_0000"; elsif temp>"0100_0101" then ten <="0111";

temp:=temp-"0100_0110"; elsif temp>"0011_1011" then ten <="0110";

temp:=temp-"0011_1100"; elsif temp>"0011_0001" then ten <="0101";

temp:=temp-"0011_0010"; elsif temp>"0010_0111" then ten <="0100";

temp:=temp-"0010_1000"; elsif temp>"0001_1101" then ten <="0011";

temp:=temp-"0001_1110"; elsif temp>"0001_0011" then ten <="0010";

temp:=temp-"0001_0100"; elsif temp>"0000_1001" then ten <="0001";

temp:=temp-"0000_1010"; else

ten <="0000";

temp:=temp;

end if;

one <=temp(3 downto 0);

end process;

end structural;

四、小结与体会

通过本次实验,我对包集和元件例化语句的使用有了更深刻的了解。

2南京理工大学紫金学院毕业生就业手续办理的有关规定

南京理工大学紫金学院毕业生就业手续办理的有关规定 一、就业准备阶段 1、通过学院就业指导办公室、各地各级人才市场等就业平台了解就业形势、就业政策和办理就业手续的大致时间。 2、明确就业意向,制定自己的就业时间表,并做好重点工作的准备。 3、根据自己的就业意向,有针对性地制作个人简历等自荐材料; 4、领取江苏省教育厅统一印制的就业推荐表、就业协议书(大约在11月下旬发放),完善自荐材料。 二、就业双选阶段 1、通过学院就业网站、教育班通知、校园招聘会、校外人才市场等各类信息平台获取就业信息。 2、发现感兴趣的招聘信息后,通过网站、宣讲会、新闻媒介、实地考察、询问知情者等途径了解招聘单位。 3、通过提交自荐材料、参加招聘笔试和面试等与用人单位进行双选。 4、确定具体的就业意向后,与用人单位签订就业协议书。 5、将手续健全协议书第三联交至教育班,并由辅导员定期提交至学院就业指导办公室登记,作为毕业生派遣依据。 注:所谓手续健全是指,不仅在协议书上要加盖用人单位的章,还要加盖档案接收单位和用人单位上级主管部门的章,或是档案接收单位和用人单位上级主管部门出具的接收函。档案接收单位和上级主管部门可为同一单位,一般企业上级主管部门为当地人事局(人才市场)。 6、毕业生签约时一定要慎重,不可随意违约,若确因特殊情况需要更改就业意向的,可按照以下程序办理: (1)到就业指导办公室领取毕业生解除就业协议申请表,或者自行到学校就业指导网站下载并填写申请理由; (2)原、新单位签署意见并盖章,或由单位出具相关证明; (3)持旧的一式四份协议书和毕业生解除就业协议申请表到就业指导办公室

申请新的就业协议书; (4)手续完备后,15个工作日后到就业指导办公室领取新的就业协议书,原推荐表可继续使用。 7、如有协议书、推荐表遗失或损坏需要补办的,可按照以下程序办理: (1)至就业指导办公室查询遗失或损坏的协议书或推荐表号码; (2)在遗失的当地登报(必须是公开发行的报纸)申明,发布“遗失启事”;(3)到就业指导办公室领取协议书、推荐表补办申请表,或者自行到学校就业指导网站下载并填写补办理由; (4)持刊登过“遗失启事”的报纸和协议书、推荐表补办申请表到就业指导办公室申请新的就业协议书或推荐表; (5)手续完备后,15个工作日后到就业指导办公室领取新的就业协议书或推荐表。 三、毕业派遣阶段 1、毕业前己经将手续健全的协议书送至就业指导办公室的同学,于毕业离校时,学院统一办理并发放报到证、户口迁移证、转组织关系介绍信(党员同学)。 2、毕业后学院统一办理报到证,毕业生领取报到证后自行办理户口迁移证及转组织关系介绍信。 3、持报到证、户口迁移证以及转组织关系介绍信到单位或人才交流中心报到。 4、两年内报到证遗失的同学,根据江苏省教育厅的规定,首先到学院就业指导办公室查询报到证号,到市级以上公开发行的报刊上刊登原报到证声明作废的遗失启事,需刊登姓名、毕业院校及15位报到证号,例:×××遗失报到证,××学校,号码××××××××,声明作废。带上刊登过“遗失启事”的报纸到学校就业指导办公室登记,由学院到江苏省高校招生就业指导中心代办报到证。 5、报到证遗失补办自毕业起超过2年的不再办理,但可以办理有关证明。办理流程为: (1)到学院就业指导办公室查询报到证号; (2)到市级以上公开发行的报刊上刊登原报到证声明作废的遗失启事,需刊

二极管包络检波实验,实验二,高频电子线路实验报告,南京理工大学紫金学院

高频实验报告 实验名称:二极管包络检波实验 姓名: 学号: 班级:通信 时间:2013.12 南京理工大学紫金学院电光系

一、 实验目的 1.加深对二极管大信号包络检波工作原理的理解。 2.掌握用二极管大信号包络检波器实现普通调幅波(AM )解调的方法。了解滤波电容数值对AM 波解调影响。 3.了解电路参数对普通调幅波(AM )解调影响。 图4-1是二极管大信号包络检波电路,图4-2表明了大信号检波的工作原理。输入信号)(t u i 为正并超过C 和1R 上的)(0t u 时,二极管导通,信号通过二极管向C 充电,此时)(0t u 随充电电压上升而升高。当)(t u i 下降且小于)(0t u 时,二极管反向截止,此时停止向C 充电并通过L R 放电,)(0t u 随放电而下降。充电时,二极管的正向电阻D r 较小,充电较快,)(0t u 以接近)(t u i 上升的速率升高。放电时,因电阻L R 比D r 大的多(通常Ω=k R L 10~5),放电慢,故)(0t u 的波动小,并保证基本上接近于)(t u i 的幅值。如果)(t u i 是高频等幅波,则)(0t u 是大小为0U 的直流电压(忽略了少量的高频成分),这正是带有滤波电容的整流电路。当输入信号)(t u i 的幅度增大或减少时,检波器输出电压)(0t u 也将随之近似成比例地升高或降低。当输入信号为调幅波时,检波器输出电压)(0t u 就随着调幅波的包络线

而变化,从而获得调制信号,完成检波作用,由于输出电压)(0t u 的大小与输入电压的峰值接近相等,故把这种检波器称为峰值包络检波器。 2.二极管大信号包络检波效率 检波效率又称电压传输系数,用d η表示。它是检波器的主要性能指标之一,用来描述检波器将高频调幅波转换为低频电压的能力。d η定义为: cm a m cm a m d U m U U m U ΩΩ= = )()(调幅波包线变化的幅度检出的音频电压幅度η 当检波器输入为高频等幅波时,输出平均电压0U ,则d η定义为 cm cm d U U U U 00)()(== 检波电压的幅值整出的直流电压η 这两个定义是一致的,对于同一个检波器,它们的值是相同的。由于检波原理分析可知,二极管包络检波器当C R L 很大而D r 很小时,输出低频电压振幅只略小于调幅波包络振幅,故d η略小于1,实际上d η在80%左右。并且R 足够大时, d η为常数,即检波器输出电压的平均值与输入高频电压的振幅成线性关系,所 以又把二极管峰值包络检波称为线性检波。检波效率与电路参数L R 、C 、0r 以及信号大小有关。它很难用一个简单关系式表达,所以简单的理论计算还不如根据经验估算可靠。如要更精确一些,则可查图表并配以必要实测数据得到。 3.二极管大信号包络检波器输入电阻 输入电阻是检波器的另一个重要的性能指标。对于高频输入信号源来说,检波器相当于一个负载,此负载就是检波器的等效输入电阻in R 。 d L in R R η2~- 上式说明,大信号输入电阻in R 等于负载电阻的一半再除以d η。例如 Ω=k R L 1.5,当d η=0.8,时,则Ω=?= k R in 2.38 .021 .5。 由此数据可知,一般大信号检波比小信号检波输入电阻大。 3.二极管大信号包络检波器检波失真

南理工紫金学院 操作系统课程考试题库

操作系统试题库一, 选择题 第一部分:操作系统概述 1.在计算机系统中,操作系统是(B). A. 一般应用软件 B.核心系统软件 C.用户应用软件 D.系统支撑软件 2.( D)不是基本的操作系统. A,批处理操作系统B,分时操作系统 C,实时操作系统D,网络操作系统 3.关于操作系统的叙述(D)是不正确的. A."管理资源的程序" B."管理用户程序执行的程序" C."能使系统资源提高效率的程序" D."能方便用户编程的程序" 4.操作系统的发展过程是(A ) A.设备驱动程序组成的原始操作系统,管理程序,操作系统 B.原始操作系统,操作系统,管理程序 C.管理程序,原始操作系统,操作系统 D.管理程序,操作系统,原始操作系统 5.操作系统是一种(B ). A, 应用软件B, 系统软件 C, 通用软件D, 工具软件 6.计算机系统的组成包括(B ). A,程序和数据B, 计算机硬件和计算机软件 C,处理器和内存D,处理器,存储器和外围设备 7.下面关于计算机软件的描述正确的是(B ). A,它是系统赖以工作的实体 B,它是指计算机的程序及文档 C,位于计算机系统的最外层 D,分为系统软件和支撑软件两大类 8.财务软件是一种(C). A,系统软件B,接口软件C,应用软件D,用户软件 9.世界上第一个操作系统是(B). A,分时系统B,单道批处理系统 C,多道批处理系统D,实时系统 10.允许多个用户以交互使用计算机的操作系统是(A). A,分时系统B,单道批处理系统 C,多道批处理系统D,实时系统 11.操作系统是一组(C ). A,文件管理程序B,中断处理程序 C,资源管理程序D,设备管理程序 12.现代操作系统的两个基本特征是(C)和资源共享.

南京理工大学紫金学院课程考试答案

南京理工大学紫金学院课程考试答案

————————————————————————————————作者:————————————————————————————————日期:

南京理工大学紫金学院课程试卷答案 一. 填空题(10分,每空1分) [1]从电磁角度来看,一个磁极对应电机圆周的电角度为 。 [2]为了使三相对称,通常令一个极域内每相所占的圆弧区域相等,这个区域称 。 [3] 异步电动机根据转子结构的不同可分为 鼠笼式 和 绕线式 两类。 [4] 绕线式异步电动机转子串入适当电阻起动时,起动转矩将 增加 ,起动电流将 减小 ,其原因是 提高了转子的功率因数 。 [5] 汽轮同步发电机稳定极限角δ . [6] 同步发电机与无穷大电网并联运行,过励时向电网发出 感性 无功功率,欠励时从电网吸收 感性 无功功率。 三. 简答题(4×5分) 1.为了得到三相对称的基波电势,对三相绕组安排有什么要求? 1、三相绕组的构成(包括串联的匝数、节距、分布等)应相同,而且三相绕组轴线在空间应分别互差1200电角度。 2、两相绕组通以两相电流是否会产生旋转磁势?单相绕组的磁势的振幅是多少?它具有什么性质? 两相绕组通以两相电流会产生旋转磁势。单相绕组的磁势是脉振磁势,振幅为:11110.9 p w I N F K P 3、异步电机转子静止与转动时,转子边的电量与参数有何变化? 答: 异步电机转子静止时转子边的电量与参数有E 2、X 2、I 2他们的频率都是f 1 异步电机转转动时转子边的电量与参数有E 2s 、X 2s 、I 2s 不仅他们的大小与转子静止时不等, 而且都是他们的频率f 2=s f 1.

南京理工大学紫金学院课程试卷答案

南京理工大学紫金学院课程试卷答案 一.填空题(10分,每空1分) [1]从电磁角度来看,一个磁极对应电机圆周的电角度为。 [2]为了使三相对称,通常令一个极域内每相所占的圆弧区域相等,这个区域称。 [3] 异步电动机根据转子结构的不同可分为鼠笼式和绕线 式两类。 [4] 绕线式异步电动机转子串入适当电阻起动时,起动转矩将增加,起动 电流将减小,其原因是提高了转子的功率因数。 [5] 汽轮同步发电机稳定极限角 δ. [6] 同步发电机与无穷大电网并联运行,过励时向电网发出感性无功功率,欠励 时从电网吸收感性无功功率。 三. 简答题(4×5分) 1.为了得到三相对称的基波电势,对三相绕组安排有什么要求? 1、三相绕组的构成(包括串联的匝数、节距、分布等)应相同,而且三相绕组轴线在空间 应分别互差1200电角度。 2、两相绕组通以两相电流是否会产生旋转磁势?单相绕组的磁势的振幅是多少?它具有什

么性质? 两相绕组通以两相电流会产生旋转磁势。单相绕组的磁势是脉振磁势,振幅为:11110.9 p w I N F K P = 3、异步电机转子静止与转动时,转子边的电量与参数有何变化? 答: 异步电机转子静止时转子边的电量与参数有E 2、X 2、I 2他们的频率都是f 1 异步电机转转动时转子边的电量与参数有E 2s 、X 2s 、I 2s 不仅他们的大小与转子静止时不等, 而且都是他们的频率f 2=s f 1. 4、同步电动机中的隐极式和凸极式各有什么特点? 答: 同步电动机的转子,按照它的磁极结构特点,可分为凸极式和隐极式两种。 (1)凸极式转子有明显凸出的磁极,凸极电机的特点是气隙不均匀,转子磁极中心附近气隙最小,磁阻也小。而在转子磁极的几何中线处气隙最大,磁阻也大,磁导最小。凸极式转子结构简单,制造方便,制成多极比较容易,但机械强度较低,所以它适用于低速、多极同步电机。同步电动机大多数也是容量较大、转速较低的凸极同步电机。 (2)隐极式转子则没有明显凸出的磁极,隐极式转子制造工艺比较复杂,但它的机械强度较好,适用于极数少、速度高的同步电机。 由于凸极式转子的结构和加工工艺比较简单,而且在过载能力和运行的稳定性方面都比隐极式转子好,所以除了高速的大容量的同步电动机采用隐极外,一般都采用凸极式。 三. 计算题(70分) 1、(13分)已知一台三相6极交流电机,定子是双层分布短距绕组,频率50f =Hz ,定子槽数136Z =槽,线圈节距156 y τ=(τ是极距),定子绕组Y 接,线圈匝数2y N =匝,气隙基波每极磁通是10.80Φ=Wb ,绕组并联支路数22a =。求:(1)基波绕组系数1w k ;(2)基波相电势1p E ;(3)基波线电势1l E 。 解: 36626Z p τ===,1556 y τ== 1分 115sin sin sin 0.96592262 p y k y π ππτ==== 1分 每极每相槽数 362263 Z q pm ===? 1分

实验二线性时不变系统,信号与系统,南京理工大学紫金学院实验报告

信号与系统实验报告 实验名称: 线性时不变系统 姓名: 学号: 班级:通信 时间:2013.5 南京理工大学紫金学院电光系

一、 实验目的 1、 掌握线性时不变系统的特性; 2、 学会验证线性时不变系统的性质。 二、实验基本原理 线性时不变系统具有如下的一些基本特性。 1.线性特性(包含叠加性与均匀性) 对于给定的系统,11()()x t t 、y 和22()()x t t 、y 分别代表两对激励与响应。 对于叠加性:当11()()x t y t ??→,22()()x t y t ??→ 则1212()()()()x t x t y t y t +??→+ 图2.1 对于均匀性: 当()()x t y t ??→, 则()()kx t ky t ??→,0k ≠ 图2.2 综合以上,则当激励是1122()()k x t k x t ?+?时,则对应的响应为 1122()()k y t k y t ?+?。对于线性时不变系统,如果起始状态为零,则系统满足叠加 性与均匀性(线性性)。 2.时不变特性 对于时不变系统, 当11()()x t t ??→y , 则1010()()x t t t t -??→-y

图2.3 3. 微分特性 对于线性时不变系统,当()()x t t ??→y 则 ()() dx t dy t dt dt ??→ 图2.4 4. 因果性 因果系统是指系统在时刻0t 的响应只与0t t =和0t t <时刻的输入有关。 也就是说,激励是产生响应的原因,响应是激励引起的后果,这种特性称为因果性。通常由电阻器、电感线圈、电容器构成的实际物理系统都是因果系统。 二、 实验内容及结果 记录实验过程中的输入输出波形。 1、线性特性 1).叠加性观察 (1) 设置信号产生模块为模式3(11) ; (2) 用按键1使对应的“信号A 组”的输出1-x 2信号(信号A 组的信号输出指示灯为001011):记录波形为x1(t )

单级放大电路,南京理工大学紫金学院eda实验报告

EDA(二)模拟部分电子线路仿真实验报告 实验名称:单级放大电路 姓名: 学号: 班级:通信 时间: 2013.4 南京理工大学紫金学院电光系

一.实验目的 1.三极管输入输出特性曲线分析; 2.掌握放大电路静态工作点的测试方法; 3.掌握放大电路动态参数的测试方法; 4.静态工作点对动态参数的影响以及失真分析 二、实验原理 分析静态工作点一般采用估算法求解,其步骤为: (1)画出电路的直流通路 (2)选择回路计算基极电位V B (3)选择合适的回路计算I E、I B、U CE 利用软件有两种方法求得电路的静态工作点,一种用万用表测量,另一种利用DC Operating Point仿真手段来得到。 放大电路的动态分析主要分析电路三个参量Au、Ri、Ro,首先应画出微变等效电路图。 三.实验内容 2.1 1.电路图

2、静态分析 理论分析:步骤 1.画出电路的直流通路 2.选择回路计算基极电位V B 3.选择合适的回路计算I E ,I B ,U CE 所用分压偏置电路直流通路如图所示:

基极电流I B 很小,故I B <

南京理工大学紫金学院通原试卷

一,填空题(每空1分,共25分)<试卷一> 1,衡量通信系统的质量指标主要是__可靠性___和__有效性____。模拟衡量通信系统的指标具体是_带宽__和__信噪比__;衡量数字通信系统的指标具体是_码速率___和__误码率___。 2,存在门限效应的情况包括_A的非干检测_和__FM解调___。 3,为解决2PSK相位模糊的问题,采用____差分编码_____方法。 4,在AM、DSB、SSB、FM调制方式中,按可靠性优劣次序是___FM、SB(SSB) 、AM_;按有效性的优劣次序是____SSB\DSB(AM)\FM____。 5,系统要传输的信号的频率为0-4KHz,系统的抽样频率为____8kHz____;如果采用PCM A律编码,其码速率为__64kBd__;系统带宽的要求是 ___128kHz___ 6,随参系统对所传输的信号的最大影响是__衰落___,这种系统存在__多径_____效应。 7,简单增量调制系统中,存在两种量化噪声,他们是_一般量化噪声___和__过载量化噪声____。 8,码间串扰通过__时域均衡____可以减少,但不能彻底消除。 9,2ASK、2FSK、2PSK、2DPSK四种调制方式中,可靠性最好的是__2PSK__; 可靠性最差的是___2ASK____;有效性最差的是____2FSK_;对信道传输特性敏感的是___2ASK___。 10,数字基带系统的频谱特性是,频谱中包含连续谱和离散谱,其中___连续谱______是永远存在的,____离散谱______是有条件存在的。 二,简答题(每题5分,共25分) 1,眼图有什么作用?可以观察那些信号传输的特性? 眼图是工程上观察通信系统性能的简单方法,用示波器即可进行可观察:噪声容限,噪声大小、最佳抽样时刻、判决门限、等 2,非均匀量化比较均匀量化有什么优势? 非均匀量化可以提高小信号时量化信噪比,减小量化噪声。 3,通信系统中,调制的作用是什么? 使信号更便于在信道中传输。 4,香农公式的物理意义是什么? 香农公式为C=Blog2(1+ S/N) 信道容量虽信噪比的增加而增大,随着待带宽趋于无穷大而趋近于一个常数。 5,无码间串扰的系统的条件是什么?有那些无码间串扰的系统,各有什么特点? 无码间串扰的传输条件是系统函数必须满足等效理想低通系统。 理想低通系统:不可实现,带宽利用率为2 升余弦系统:可实现,带宽利用率为1 部分响应系统:可实现,带宽利用率为2 三,画图题(每题10分,共20分) 1,已知信息代码为1011000000000101,试确定相应的AMI码和HDB3码,并

时钟综合实验,南京理工大学紫金学院单片机实验报告

单片机实验报告 实验名称:时钟综合实验 姓名: 学号: 班级:通信 时间:2013.12 南京理工大学紫金学院电光系

一、实验目的 1、学习Proteus软件基本使用方法; 2、掌握定时/计数器与外部中断工作原理; 3、学习设计单片机控制的多位数码管扫描显示电路; 4、理解数码管动态显示的原理。 二、实验原理 AT89C51单片机为控制器,八位7段数码管分别显示小时的十位、小时的个位、连接符、分的十位、分的个位、连接符、秒的十位和秒的个位,其中数码管的位选口为P2口,段选口为P0口,小时、分和秒的能动态显示。定时器实现1秒准确定时,外部中断0引脚外接键盘BUTTON1,实现手动校分功能;外部中断1外接键盘BUTTON2,实现清零功能。 三、实验内容 根据实验任务设计要求,在Keil软件中实现程序编辑、编译与执行等操作。对编译通过的过程可选择单步调试的方式来观察运行的结果,以及各个寄存器的值如何变化。参考程序流程:主程序流程:开始→变量赋初值→定时器T1赋计数初值→设置外部中断控制字→开中断→开计数器→送位选字→送段选码→延时→送位选字,定时器T1中断流程:定时器T1赋计数初值→到1秒了吗?→Y秒加1 or N结束→到1分钟了吗?→Y秒清零 or N结束→分钟加1→到60分钟了吗?→Y分钟清零 or N结束→结束,外部中断0流程:分钟加1→到60分钟了吗?→Y分钟清零 or N结束→结束,外部中断1:分钟清零→秒清零→结束。 1、程序代码: #include #define uint unsigned int #define uchar unsigned char //共阳 0-9 uchar dis_dat[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; uchar k,second,minute,secondl,secondh,minutel,minuteh; void init() { k=20; second=0; minute=0; secondl=0; minutel=0; secondh=0; minuteh=0; } void Timeinit() { TMOD=0x10; TH1=(65536-46082)/256; TL1=(65536-46082)%256; TR1=1;

编码器、译码器的仿真,EDA(一)数字部分 电子线路仿真实验报告 ,南京理工大学紫金学院

EDA(一)数字部分电子线路仿真实验报告 实验名称:编码器、译码器的仿真 姓名: 学号: 班级:通信 时间:2013.5 南京理工大学紫金学院电光系

一、 实验目的 1、熟悉Multisim7仿真软件数电部分的使用; 2、掌握编码器、译码器和数码管逻辑功能和使用方法; 3、能够利用编码器、译码器设计简单键盘编码显示电路。 二、 实验原理 1、10线-4线优先编码器74147 74147功能表 2、通用七段显示译码器7447 123456789 (11) (12)(13)(1) (2)(3)(4)(5)(10) HPRI/BCD 1248 (9)(7)(6)(14) Y 0Y 1Y 2Y 3 91011121314151612345 678 I 4I 5I 6I 7I 9 Y 2Y 1GND Vcc NC Y 3I 3I 2I 1I 9Y 0 逻辑图 引脚图 I 1 I 2 I 3 I 4 I 5 I 6 I 7 I 8 I 9 Y 3 Y 2 Y 1 Y 0 输 入输 出 0987654321 100111111111100001111110011001101010101 010******** 10111111110111111101111110111110111101110110十进制数

7447功能说明: 1)7447为七段显示译码器集成电路,输出低电平有效,用以驱动共阳显示器。 2)BI/RBO为特殊控制端。作为输入使用时,若BI=0,电路完成灭灯功能。作为输出使用,输出值受制于LT和RBI取值。 3)LT为试灯输入。LT=0, BI/RBO是输出端,且RBO=1,无论其他输入端什么状态,数码管显示8字形。 4)RBI为动态灭零输入。LT=1, RBI=0,且输入代码为0000时,电路不显示,故称灭零。此时BI/RBO是输出端,输出RBO=0。 5)对输入代码0000译码条件是LT=RBI=1;对其他代码译码条件LT=1。 三、实验内容 1、全加器

实验四LC正弦波振荡电路实验,高频电子线路,南京理工大学紫金学院实验报告

高频实验报告 实验名称:LC正弦波振荡电路实验 姓名: 学号: 班级:通信 时间:2014.01 南京理工大学紫金学院电光系

一、 实验目的 1.进一步学习掌握正弦波振荡电路的相关理论。 2.掌握电容三点式LC 振荡电路的基本原理,熟悉其各元件功能;熟悉静态工作点、耦合电容、反馈系数、等效Q 值对振荡器振荡幅度和频率的影响。 3.熟悉LC 振荡器频率稳定度,加深对LC 振荡器频率稳定度的理解。 二、实验基本原理与电路 1. LC 振荡电路的基本原理 LC振荡器实质上是满足振荡条件的正反馈放大器。LC振荡器是指振荡回路是由LC元件组成的。从交流等效电路可知:由LC振荡回路引出三个端子,分别接振荡管的三个电极,而构成反馈式自激振荡器,因而又称为三点式振荡器。如果反馈电压取自分压电感,则称为电感反馈LC振荡器或电感三点式振荡器;如果反馈电压取自分压电容,则称为电容反馈LC振荡器或电容三点式振荡器。 在几种基本高频振荡回路中,电容反馈LC振荡器具有较好的振荡波形和稳定度,电路形式简单,适于在较高的频段工作,尤其是以晶体管极间分布电容构成反馈支路时其振荡频率可高达几百MHZ~GHZ。 普通电容三点式振荡器的振荡频率不仅与谐振回路的LC 元件的值有关,而且还与晶体管的输入电容i C 以及输出电容o C 有关。当工作环境改变或更换管子时,振荡频率及其稳定性就要受到影响。为减小i C 、o C 的影响,提高振荡器的频率稳定度,提出了改进型电容三点式振荡电路——串联改进型克拉泼电路、并联改进型西勒电路,分别如图2-1和2-2所示。 串联改进型电容三点式振荡电路——克拉泼电路振荡频率为: 图2-1克拉泼振荡电路 C L C C L 图2-2西勒振荡电路

2020年南京理工大学紫金学院招聘辅导员试题及答案

2020年南京理工大学紫金学院招聘辅导员试题及答案 注意事项 1、请用钢笔、圆珠笔或签字在答题卡相应位置填写姓名、准考证号,并用2B铅笔在答题卡指定位置填涂准考证号。 2、本试卷均为选择题,请用2B铅笔在答题卡上作答,在题本上作答一律无效。 一、单项选择题(在下列每题四个选项中只有一个是最符合题意的,将其选出并把它的标号写在题后的括号内。错选、多选或未选均不得分。) 1、关于我国学前班的说法,错误的是()。 A、是我国农村发展学前教育的一种重要形式 B、学前班的教育目标与要求应与小学相同 C、学前班的教育应以游戏活动为主 D、是以学龄前1-2年的儿童为教育对象的教育组织形式 【答案】B 2、智力技能形成的三个阶段依次是()。 A、原型定向、原型内化、原型操作 B、原型操作、原型内化、原型定向 C、原型定向、原型操作、原型内化 D、原型内化、原型定向、原型操作 【答案】C 3、《西游记》中描写的孙悟空的形象属于()。 A、无意想像 B、再造想像 C、创造想像 D、幻想 【答案】C 4、提出“泛智”教育思想,探讨“把一切事物教给一切人类的全部艺术”的教育家是()。 A、培根 B、夸美纽斯 C、赫尔巴特 D、赞科夫 【答案】B 5、下面哪一种做法不利于教育发挥促进儿童发展的主导作用()。 A、教育过程中始终要尊重和发挥儿童的主体性

B、教育在成熟的基础上引导发展 C、教育始终要在整体发展的基础上促进个性发展 D、教育始终要顺应儿童的成熟,反映儿童已有的发展 【答案】D 6、以培养忠于统治阶级的强悍的军人为教育目的是古代()。 A、希腊 B、埃及 C、斯巴达 D、雅典 【答案】C 7、使人格各部分整合和统一起来的核心力量是()。 A、自我意识 B、自我认知 C、性格特征 D、能力 【答案】A 8、在西方传统的课程理论中,通常把课程目标分为:事实、技能和()。 A、知识 B、能力 C、态度 D、素质 【答案】C 9、教学技能的学习包括()。 A、言语表达能力 B、言语表达能力、教师课堂驾驭能力、教师的教学准备能力、“三笔字”的书写能力 C、言语表达能力、教师课堂驾驭能力 D、言语表达能力、教师课堂驾驭能力 【答案】B 10、()是一种代表中国文化精神的修养方法。意思是说道德原则是一个人一时一刻也不能离开的。 A、学而不厌 B、慎独 C、反躬自省 D、自我修养

并行乘法器-南京理工大学紫金学院vhdl实验报告-eda

EDA技术与应用 实验报告 实验名称:并行乘法器 姓名: 学号: 班级:通信 时间:2013 南京理工大学紫金学院电光系

一、实验目的 1、学习包集和元件例化语句的使用。 2、学习FLU(全加器单元)电路的设计。 3、学习并行乘法电路的设计。 二、实验原理 并行乘法器的电路原理图如下图所示,主要由全加器和与门构成。 并行乘法器原理图 三、实验内容 1、and_2 library ieee; use ieee.std_logic_1164.all; entity and_2 is port (a,b:in std_logic; y:out std_logic); end and_2; architecture and_2 of and_2 is begin y <= a and b; end and_2;

2、fau library ieee; use ieee.std_logic_1164.all; entity fau is port (a,b,cin:in std_logic; s,cout:out std_logic); end fau; architecture fau of fau is begin s <= a xor b xor cin; cout <= (a and b)or(a and cin)or(b and cin); end fau; 3、top_row library ieee; use ieee.std_logic_1164.all; use work.my_components.all; entity top_row is port (a:in std_logic; b:in std_logic_vector(3 downto 0); sout,cout:out std_logic_vector(2 downto 0); p:out std_logic); end top_row; architecture structural of top_row is begin U1: component and_2 port map(a,b(3),sout(2)); U2: component and_2 port map(a,b(2),sout(1)); U3: component and_2 port map(a,b(1),sout(0)); U4: component and_2 port map(a,b(0),p); cout(2) <= '0';cout(1) <= '0';cout(0) <= '0'; end structural; 4、mid_row library ieee;

【参考借鉴】南京理工大学紫金学院《数据结构》试卷.doc

一、选择题 1.树最适合用来表示()。 A.有序数据 B.无序数据元素 C.元素之间具有分支层次关系的数据 D.元素之间无联系的数据 2.一个堆是一棵()二叉树。 A.普通 B.排序 C.满 D.完全 3.设哈夫曼树中的叶子结点总数为m,若用二叉链表作为存储结构,则该哈夫曼树中共有()个空指针域。 A.2m-1 B.2m C.2m+1 D.4m 4.线性结构的顺序存储结构是一种()的存储结构。 A.随机存取 B.顺序存取 C.索引存取 D.散列存取 5.一下是平衡二叉树的是()。 A. B. C. D. 6.对图进行广度优先遍历时,通常采用()来实现算法。 A.栈 B.队列 C.树 D.图 7.有一个有序表为{8,15,20,22,32,41,45,62,75,77,82,85,97},当二分查找值为22的数据时要进行()次比较。 A.2 B.3 C.4 D.5 8.设单链表中结点的结构为(data,nePt)。已知指针n所指结点不是尾结点,若在指针p所指结点之后插入结点s,则应执行下列哪一个操作? A.s->nePt=p;p->nePt=s; B.s->nePt=p->nePt;p->nePt=s;

C.s->nePt=p->nePt;p=s; D.p->nePt=s;s->nePt=p; 9.由权值分别为11.8.6.2.5的叶子结点生成一棵哈夫曼树,它的带权路径长度为()。 A.24 B.71 C.48 D.53 10.无向图G=(V,E),其中V={a,b,c,d,e,f}, E={(a,b),(a,c),(a,e),(b,e),(c,f),(f,d),(e,d)}。对该图进行深度优先遍历,下面不能得到的序列是()。 A.acfdeb B.aebdfc C.aedfcb D.abecdf 11.设有广义表D(a,b,D),其长度为(),深度为()。 A.∞ B.3 C.2 D.5 12.线性表中最常用的操作是在最后一个元素之后插入一个元素和删除第一个元素,则采用()存储方式最节省运算时间。 A.单链表 B.仅有头指针的单循环链表 C.双链表 D.仅有头指针的单循环链表 13.在有n个结点的二叉链表中,值为非空的链域的个数为()。 A.n-1 B.2n-1 C.n+1 D.2n+1 14.稀疏矩阵一般的压缩存储方法有两种,即()。 A.二维数组和三维数组 B.三元组与散列 C.三元组与十字链表 D.散列和十字链表 15.以下不是堆的序列是()。 A.100,85,98,77,80,60,82,40,20,10,66 B.100,98,85,82,80,77,66,60,40,20,10 C.10,20,40,60,66,77,80,82,85,98,100

声波在水中的传播特性和水中目标探测的研究-南京理工大学紫金学院

声波在水中的传播特性和水中目标探测的研究 姓名:刘乂爻天单位:南京理工大学紫金学院 摘要: 1912年4月19日,英国刚刚研制成功的一艘14000吨级的新邮轮“巨人号”,在加拿大纽芬兰岛南部海域被一座浮动冰山撞沉。结果1500余人遇难。在第一次世界大战期间,德国人利用新发明的U型潜艇,击沉了大量协约国的军舰和商船。两件重大事件促使科学家、发明家对声纳的研制和改进加快了进程。声纳的用途十分广泛。在军舰、潜艇、反潜飞机上安装声纳之后,可以准确确定敌方舰艇、鱼雷和水雷的方位。同时,它还能区别前方的目标是鲸鱼还是潜艇,是敌方潜艇还是我方潜艇呢。在民用方面,可以使轮船在黑夜和雾天航行时及时发现前方的船只或暗礁;可以告诉渔民哪儿有鱼群;还可以用来研究海洋地质,搜寻海下沉船,进行水下通信联系等等。 关键词:声纳组成和工作原理简史现状发展趋势 英文翻译: Acoustic wave propagation in the water and the water target detection research Name: Liu Yi Yao Tian Units: College of Nanjing University of Technology and Engineering Zijin Abstract: April 19, 1912, the United Kingdom has just been successfully developed a new 14,000-ton cruise "giant" in the southern island of Newfoundland, Canada, was a sea of floating iceberg sank. Results More than 1,500 people died. During the First World War, the German use of the new invention of the U-shaped submarine to sink a lot of Xiediguo warships and merchant ships. Two major events prompted scientists, inventors of the sonar in the development and expedite the process of improving. Sonar uses very wide-ranging. In warships, submarines, anti-submarine sonar installed on the aircraft, can be accurately determined enemy ships, torpedoes and mines position. At the same time, it can also distinguish between the target is a whale in front of the submarine or is our enemy submarines or submarine it. In the civil context, will enable vessels navigating in the darkness and fog at the time found in front of the vessel or reefs; can tell fishermen where there are fish, but also can be used to study the marine geology and search under the sea shipwrecks, underwater communication links etc.. Key words: sonar composition and working principle history Status Quo Development Trend 正文: 作为弹性波的声波在水中传播具有损耗小、传播距离较远的优点,所以声纳已成为海洋开发和研究中不可缺少和行之有效的探测设备.但根据海洋声学的基本特性,海水中声波的传播速度受海水的温度、

南京理工大学紫金学院课程试卷答案

南京理工大学紫金学院课程试卷答案

南京理工大学紫金学院课程试卷答案 一.填空题(10分,每空1分) [1]从电磁角度来看,一个磁极对应电机圆周的电角度为。 [2]为了使三相对称,通常令一个极域内每相所占的圆弧区域相等,这个区域称。 [3] 异步电动机根据转子结构的不同可分 为鼠笼式和绕 线式两类。 [4] 绕线式异步电动机转子串入适当电阻起动 时,起动转矩将增加,起 动电流将减小,其原因 是提高了转子的功率因 数。

[5] 汽轮同步发电机稳定极限角 δ . [6] 同步发电机与无穷大电网并联运行,过励 时向电网发出感性无功功率,欠励 时从电网吸收感性无功功率。 三. 简答题(4×5分) 1.为了得到三相对称的基波电势,对三相绕组安排有什么要求? 1、三相绕组的构成(包括串联的匝数、节距、 分布等)应相同,而且三相绕组轴线在空间应分别互差1200电角度。

2、两相绕组通以两相电流是否会产生旋转磁势?单相绕组的磁势的振幅是多少?它具有什么性质? 两相绕组通以两相电流会产生旋转磁势。单相绕组的磁势是脉振磁势,振幅为:11110.9p w I N F K P 3、异步电机转子静止与转动时,转子边的电量与参数有何变化? 答: 异步电机转子静止时转子边的电量与参数有E 2、X 2、I 2他们的频率都是f 1 异步电机转转动时转子边的电量与参数有E 2s 、X 2s 、I 2s 不仅他们的大小与转子静止时不等, 而且都是他们的频率f 2=s f 1. 4、同步电动机中的隐极式和凸极式各有什么特点? 答: 同步电动机的转子,按照它的磁极结构特点,可分为凸极式和隐极式两种。 (1)凸极式转子有明显凸出的磁极,凸极电机的特点是气隙不均匀,转子磁极中心附近气隙最小,磁阻也小。而在转子磁极的几何

集成运算放大电路,南京理工大学紫金学院eda实验报告

EDA(五)模拟部分电子线路仿真实验报告 实验名称:集成运算放大电路 姓名: 学号: 班级:通信 实验时间:2012.5 南京理工大学紫金学院电光系

一、 实验目的 1、掌握运算电路的特点,能组成基本的运算电路。 2、掌握集成运算放大电路输入电压和输出电压的关系。 3、掌握运算电路参数的测试方法。 二、 实验原理 1、 反相比例运算电路 图6-3为反相比例运算电路原理图: 图6-3 反相比例运算电路原理图 由虚断得: 0==-+I I 故:0≈+u ,f i I I =; 由虚短得: 0=≈-+u u 11//)(R u R u u I i i i ≈-=- f o f o f R u R u u I //)(-≈-=- 利用两式可以得到输入和输出电压的关系: i f o R R u u 1 - = 其中负号表示输出信号和输入信号相位相反。电路中R2为平衡电阻,f R R R //12=。 当f R R =1时,i o u -=u ,此时电路为反相器。 2、原理图如图6-18所示,输入信号从反相输入端输入,利用电容作为反馈网络。由虚断和 虚短得:,1 i R v i i c = = 对电容而言:

t i C d 1 u C C ? = 则输出电压为: t C R t i C d u 1d 1u u i 1C C O ? ?-=- =-= 因此输出信号的波形和输入信号的波形有关系。 图6-18 积分运算电路原理图 1)设正弦波输入,频率为f ,则波形可以表示为: )2sin()sin(ft t u i πω== 则输出电压的关系式为: )2cos(21 )(u 1O ft f C R t ππ- = 说明:正弦波输入,经积分电路后输出波形为余弦波,输出电压的幅度为 f C R V om π211= ,相位超前输入信号0 90。 2)方波输入 方波信号输入时,在某一段时间t ~0内U u i =为一恒量,在另一段时间t t 2~内 U u i -=,也是一个恒量。 输出电压的表达式为: )()()(d 11121I 2 1t u RC t t u t u t u RC u C i C t t o +--=+-=? 故方波输入,当C 选择合适当且信号稳定后输出信号为三角波,如图6-19所示。 图6-19 方波输入时输入和输出信号波形

相关主题
文本预览
相关文档 最新文档