当前位置:文档之家› 数字电子钟电路设计分析解析

数字电子钟电路设计分析解析

学号20105042033

本科毕业设计

学院物理电子工程学院

专业电子信息工程

年级2010级

姓名冯从俊

设计题目数字电子钟电路设计

指导教师周胜海职称副教授

2014 年 5 月 13 日

目录

摘要 (1)

Abstract (1)

引言 (1)

1 设计要求 (1)

2 总体设计方案 (2)

3 单元电路设计 (2)

3.1振荡器 (2)

3.2分频器 (3)

3.3计数器 (3)

3.4校时电路 (7)

3.5译码与显示电路 (8)

3.6定时控制电路 (8)

3.7正点报时电路 (9)

4 装调与测试 (10)

5 结论与讨论 (11)

参考文献 (12)

附录 (13)

数字电子钟电路设计

学生姓名:冯从俊学号:20105042033

学院:物理电子工程学院专业:电子信息工程

指导教师:周胜海职称:副教授

摘要:本文提出了一种基于常用数字芯片的数字钟设计方法。它由时钟源、分频器、计数器、译码器、数码管、校时电路等单元构成。测试结果表明,该设计可实现数字钟的基本功能和若干扩展功能。数字钟表较传统钟表有很多优点,因而得到越来越广泛的应用。

关键词:振荡器;分频器;计数器;译码器

Design of Digital Electronic Clock Circuit Abstract: The design scheme of a digital clock with common digital ICs was presented. The digital clock is mainly composed of a clock pulse generator, three frequency dividers, five counters, six decoders, and six LED nixie lights. Digital clocks are becoming more and more common due to their advantages over conventional clocks. Test results illustrated that it can realize the primary functions and some additional functions of a digital clock.

Key words: oscillator; frequency divider; counter; decoder

引言

数字电子钟在我们的日常生活中有很多应用,比如说,我们在商场,超市,以及家庭里面随处可见,它成为我们日常生活中的必需品。数字电路的发展越来越迅速,并且得到了广泛应用,使得数字钟的精度、应用超过老式钟表,而且大大地扩展了钟表原先的报时功能。可以说,数字钟及其应用,已经占据了我们生活的方方面面,有着非常现实的意义。

1 设计要求

(1)能够计时准确,以数字形式显示时、分、秒的时间;

(2)小时的计时要求为“12翻1”,分和秒的计时要求为60进位;

(3)校时方便。

2 总体设计方案

图1为数字电子钟的总体框图,它由振荡器、分频器、计数器、译码器、数码显示器等单元构成。显示、译码、计数电路是完成电子钟的基本钟表显示,进位功能。脉冲电路是产生1Hz 的秒脉冲。

图1所示的框图能够实现数字电子钟的基本显示功能。另外,还可以扩展电路,从而实现数字电子钟的定时控制、整点报时等扩展功能。

数字钟的基本工作原理:由振荡器产生高频脉冲,高频脉冲信号经过分频器分频,产生接近1Hz 的秒脉冲,脉冲信号经过计数器计时,在经过译码器在显示器上显示出来,这就是数字钟最基本的工作原理,而扩展电路一定在主体电路正常运行的情况下才能进行功能扩展[1]。

3 单元电路设计

3.1 振荡器

可以说,振荡器是数字电子钟最重要的部分,是数字钟的灵魂。其稳定度和频率的精确度决定了数字钟计时的准确度。一般来说,振荡器的频率越高,计数精度越高。本设计采用由集成电路定时器555与RC 组成的多谐振荡器[2]。通过对电阻的选择和调节,将初始的调振荡频率为1kHz 。电路图如图2所示。

时显示器

时译码器

校时电路

秒计数器

分计数器

秒译码器

分译码器

时计数器

秒显示器

分显示器

分频器

振荡器

图1 数字钟基本功能组成框图

C4

0.1u f

C30.01uf

VCC

R65.1k

R42k

1

1

22334455667788

JP7555

R510k

3.2 分频器

分频器的功能有两个:一产生标准秒脉冲信号;二提供功能扩展电路所需要的信号。选用计数器74LS90可以实现上述要求。每片74LS90为1/10分频,3片级联即可获得所需要的信号,第1片Q 3端输出频率为100Hz ,第2片Q 3端输出频率为10Hz ,第3片Q 3端输出频率为1Hz [3]。电路如图3所示。

1122334455667

7

8

8

9910

10

1111121213131414U1474LS00

1

1

2231122334455667

7

8

8

9910

10

1111121213131414

U1774LS90

1122334455667

7

8

8

9910

10

1111121213131414U1874LS90

1122334455667

7

8

8

9910

10

1111121213131414U1974LS90

C20.01uf

VCC

R33.3k 123

J101VCC

VCC

VCC

3.3 计数器

计数器的主要功能是用来计算输入脉冲,本设计的时钟脉冲由前面所述的分频器提供,计数器不仅可以计数而且还有其他特定的逻辑功能,比如定时控制、测量等等。

分和秒采用60进制计数器。在此可以选用74LS92作为十位计数器,74LS90作为个位计数器,再将它们级联组成模数M=60的计数器。

图2 555振荡器

图3 分频电路

而时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒时,秒的个位计数器在输入一个秒脉冲时,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。选用74LS191和74LS74实现此功能[4]。

数字钟的计数电路是用两个六十进制计数电路和“12翻1”计数电路实现的。计数电路是数字钟的基础,只有在计数电路调试成功的前提下,才能够进行经行下一步的设计工作,而由于本设计级联较多,故在计数电路调试的过程中会比较麻烦。可以将分和秒计数器调试成功后,再单独对时计数器进行调制,由于设计电路在数字试验箱上进行,故调试部分相对来说较为简单[5]。 1、60进制计数器

74LS92作为十位计数器,在电路中采用六进制计数;74LS90作为个位计数器在电路中采用十进制计数。当74LS90的14脚接振荡电路的输出脉冲1Hz 时74LS90开始工作,它计时到10时向十位计数器74LS92进位[6]。

下面将对电路中所用的主要集成元件的功能进行介绍。 ① 异步计数器74LS90

所谓异步计数器,是指计数器内各触发器的时钟信号不是来自于同一外接输入时钟信号,因而各触发器不是同时翻转。这种计数器的计数速度慢。

74LS90是二—五—十进制计数器,它有两个时钟输入端0CP 和1CP 。其中,0

CP 和0Q 组成一位二进制计数器;1CP 和123Q Q Q 组成五进制计数器;若将0Q 与1CP 相连接,时钟脉冲从0CP 输入,则构成8421BCD 码十进制计数器[7]。74LS90有两个清零端MR 1、MR 2,两个置9端MS 1、MS 2,74LS90的引脚图如图5。在本设计中,它将主要用做十分频器和十进制计数器。

图4 六十进制计数器

图5 74LS90引脚图

② 异步计数器74LS92

74LS92是二—六—十二进制计数器,即0CP 和0Q 组成二进制计数器,1CP 和123Q Q Q 在74LS92中为六进制计数器。

当1CP 和0Q 相连,时钟脉冲从0CP 输入,74LS92构成十二进制计数器[8]。74LS92的引脚图如图6。在本设计中,它做为六进制计数器。

图6 74LS92引脚图

2、12进制计数器

5

4

3

D /U '

5

Q 03Q 1

2

Q 26Q 3

7

D 39D 210

D 11CLK

14

D 0

15

L D '

11

C T '

4

74LS191

C L R 1

1

C L K 1

3

Q 1

5

D 1

2

74LS74

A0A3A2A1A0

图7 十二进制计数器

(1)“12翻1”小时计数器是按照“01-02-03-04-05-06-07-08-09-10-11-12-01”规律计数的,其电路如图7所示。 (2)电路的工作原理

由表1可知:个位计数器由4位二进制同步可逆计数器74LS191构成,十位计数器由双D 触发器74LS74构成,将它们组成“12翻1”小时计数器[8]。

由表可知,计数器的状态要发生两次跳跃:一是计数到12后,在第13个计数脉冲作用下个位计数器的状态应为0001Q Q Q Q 00010203=,十位计数器的0Q 10=。第二次跳跃的十位清“0”和个位置“1”的输出端10Q 、01Q 、00Q 来产生[9]。;二是计数器计到9,即个位计数器的状态为1001Q Q Q Q 00010203=后,在下一计数脉冲的作用下计数器进入暂态1010,利用暂态的两个1即0103Q Q 使个位异步置0,同时向十位计数器进位使1Q 10=。

表1 “12翻1”小时计时时序

十位

个位

十位 个位

CK 10Q

03Q 02Q 01Q 00Q

CK 10Q 03Q 02Q 01Q 00Q

0 1 2 3 4 5 6 7

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1

8 9 10 11 12 13

0 0 0 1 1 1 0

1 0 0 0 1 0 0 1 1 0 1 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1

下面将对该电路中所用的主要集成元件的功能进行介绍。 ①D 触发器74LS74

在电路中用到了D 触发器74LS74。74LS74是一个边沿触发器数字电路器件,每个器件中包含两个相同的、相互独立的边沿触发D 触发器电路。74LS74这个集成块是一个双D 触发器,其功能比较多,可用作寄存器,振荡器,分频计数器等。在该设计中74LS74做为数字钟的小时部分的十位,可以与计数器74LS191一块儿满足“12翻1”功能[10],其引脚图如图8所示。

图8 74LS74引脚图

②计数器74LS191

74LS191 是一个单时钟 4 位同步加/减可逆计数器,是BCD 码十六进制计数器。在该实验中,它可以做为小时部分的个位,其引脚图如图9。

图9 74LS191引脚图

3.4 校时电路

当数字钟接通电源或者计时出现误差时,需要校正时间(或称校时)。校时是数字钟应具备的基本功能。对于本设计,由于抖动等因素的影响,校时电路在试验箱上的效果不太明显。

对校时电路的要求是,在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。对于一般电路而言,校时方式有“快校时”和“慢校时”两种,“快校时”是通过开关控制,使计数器对1Hz 的校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。图10为校“时”、校“分”电路[11]。其中S 1为校“分”用的控制开关,S 2为校“时”用的控制开关,它们的控制功能如表3 所示。校时脉冲采用分频器输出的1Hz 脉冲,当 S 1 或S 2 分别为“0”时可进行“快校时”。如果校时脉冲由单次脉冲产生器提供,则可以进行“慢校时”。

3

2D11

Q12Q03CT'4D/U'5Q26Q37GND

8

D3

9

D210LD'11MAX/MIN

12RCO'13CLK 14D015VCC 1674LS191

AR?

OPAMP

2

CLR11D12CLK13PR14Q15Q1'6GND 7

Q2'

8

Q29PR210CLK211D212CLR213VCC 14

74LS74

图10 校时电路

需要注意的是,校时电路是由与非门构成的组合逻辑电路,开关S 1 或S 2 为“0”或“1”时,可能会产生抖动,接电容C 1 、C 2 可以缓解抖动。必要时还应将其改为去抖动开关电路。

表2校时开关的功能

S 2 S 1 功能 1 1 计数 1 0 校分 0

1 校时

3.5 译码与显示电路

译码和数码显示电路是将数字钟和计时状态直观清晰的放映出来,被人们的视觉器官所接受,它的任务就是将计数器输出的8421BCD 码译成数码器显示所需要的高低电平。本设计借助于数字试验箱,由于条件限制,故秒的个位和十位用发光二极管代替[12]。 3.6 定时控制电路

数字钟在指定的时刻发出信号,或驱动音响电路“闹时”;或对某装置的电源进行接通或断开“控制”。不管是闹时还是控制,都要求时间准确,即信号的开始时刻与持续时间必须满足规定的要求[13]。

例:要求上午7时59分发出闹时信号,持续时间为1分钟。 设计的电路图如图11所示。

3.3k Ω

&

至时个位计数器

&

至分个位计数器

&

&

&

&

1

1

分十位 进位脉冲

秒十位 进位脉冲

3.3k Ω

C 2 0.01μF

C 1 0.01μF

S 2

S 1

校时脉冲

+5V

图11 定时控制电路

7时59分对应数字钟的时个位计数器的状态为0111)Q Q Q (Q 1H 0123=,分十位计数器的状态为0101)Q Q Q (Q 2M 0123=,分个位计数器的状态为1001)Q Q Q (Q 1M 0123=。若将上述计数器输出为“1”的所有输出端经过与门电路去控制音响电路,就可以使音响电路正好在7点59分响,持续1分钟后停响。所以闹时控制信号Z 的表达式为

M )Q (Q )Q (Q )Q Q (Q Z 1M 032M 021H 012???=

式中,M 为上午的信号输出,要求M=1。

如果用与非门实现上式所表示的逻辑功能,则可以将Z 进行布尔代数变换,即

1M 032M 021H 012)Q (Q )Q (Q M )Q Q (Q Z ???=

由电路图可见,上午7点59分,音响电路的晶体管导通,则扬声器发出1kHz 的声音。持续1分钟到8点整晶体管因为输入端为“0”而截止,电路停闹[14]。 3.7 正点报时电路

仿广播电台正点报时电路的功能要求是:每当数字钟计时快要到正点时发出声响,通常按照4 低音1 高音的顺序发出间断声响,以最后一声高音结束的时刻为正点时刻。设四声低音(约500Hz )分别发生在59分51秒、53秒、55秒、57秒,最后一声高音(约1kHz )发生在59秒,它们的持续时间为1s 。

由此可见,报时时分和秒计数器的状态不变的是59分,秒十位计数器的状态为:(Q d Q c Q b Q a )ds2=0101亦不变,只有秒个位计数器Q ds1的状态可用来控制1kHz 和500Hz 的音频[15]。

下表列出了秒计数器的状态:

3.3k Ω

&

+5V

R L

&

&

&

Q 0 Q 1 Q 2

M

时个位

Q 0 Q 2 分十位 Q 0 Q 3

分个位 74LS20

74LS03

Z

1kHz

74LS00

&

&

1k Ω

22Ω

3DG130

+5V

音响电路

表3 秒个位计数器的时态

CP(秒) Q 3s1 Q 2s1 Q 2s1 Q 0s1 功能 50 0 0 0 0 51 0 0 0 1 鸣低音 52 0 0 1 0 停 53 0 0 1 1 鸣低音 54 0 0 0 0 停 55 0 1 0 1 鸣低音 56 0 1 1 0 停 57 0 1 1 1 鸣低音 58 1 0 0 0 停 59 1 0 0 1 鸣高音 00

由表可得:当 Q 3s1=“0”时为500Hz 输入音响;

当Q 3s1=“1”时1kHz 输入音响。

由此可设计如图12所示电路图。

只有当分十位的Q 2M2Q 0M2=11,分个位的Q 3M1Q 0M1=11,秒十位的Q 2S2Q 0S2=11,秒个位的Q 0S1=1时音响电路才能工作。

4 装调与测试

由图1所示的数字钟系统组成框图按照信号的流向分级安装,逐级级联,这里的每一级是指组成数字钟的各功能电路。特别是频率计的安装调试更为重要,因为它可以为下面的设计提供稳定的秒脉冲信号。级联时如果出现时序配合不同步,或尖峰脉

1

&&

&

Q 0Q 2分十位Q 0Q 3

分个位

1

1kHz 1

1

音响电路

Q 0Q 2秒十位秒个位

Q 0

&

&

&

秒个位Q 3

500Hz

图12 仿电台报时电路

冲干扰,可以增加多级逻辑门来延时。

如果显示字符变化很快,模糊不清,可能是由于电源电流的跳变引起的,可在集成电路器件的电源端V CC加退耦滤波电容。通常用几十微法的大电容与0.01 μF 的小电容相并联。经过联调并纠正设计方案中的错误和不足之处后,再测试电路的逻辑功能是否满足设计要求。由于设计电路较为复杂,故首先要确定好各部分之间的连接关系,然后后画出满足设计要求的总体逻辑电路图,如附录所示。

5 结论与讨论

基于常用数字芯片的数字钟设计电路较为复杂,能够将数模电方面的知识较好的体现在实际应用中,能够体现出本科所学的知识水平。

本设计采用振荡、分频、计数、显示等几个简单的电路模块,采用一些常见的电子器件,实现了数字电子钟正常显示时、分、秒的功能。

本设计实现了数字钟的正常计时,以数字形式显示时、分、秒的时间,分和秒的计时为60进位,小时的计时为12进制。

设计时,不仅要掌握每个元器件在电路中的功能,而且还要深刻理解电路的每个部分要实现的功能及其原理,找出最优的设计方案。

在设计初期曾尝试制作电路板,但由于制作工艺等原因,最终选择使用数字实验箱来检验设计的正确性,实践证明,当初的选择是正确的。

参考文献:

[1] 姚福安.电子电路设计与实践[M].济南:山东科技出版社,2002:198-211.

[2] 陈大钦,罗杰.电子技术基础实验(第三版)[M].北京:高等教育出版社,2010:160-165.

[3] 阎石.数字电子技术基础(第五版)[M].北京:高等教育出版社,2005:470-497.

[4] 张庆双.电子元器件的选用与检测[M].北京:机械工业出版社,2005:40-48.

[5] 康华光.电子技术基础(数字部分)[M].北京:高等教育出版社,2000:45-56.

[6] Kenneth Smith. Microelectronic circuits[M]. London: Oxford University Press,2003:109-115.

[7] 高吉祥.电子技术基础实验与课程设计[M].北京:电子工业出版社,2002:122-130.

[8] Robert. Digital logic-Analysis Application and Design[M]. Philadelphia: Saunders College press,

2001: 204-298.

[9] 焦辎厚.电子工艺实习教程[M].哈尔滨:哈尔滨工业大学出版社,2003:78-90.

[10] 吕思忠.数子电路实验与课程设计[M].哈尔滨:哈尔滨工业大学出版社,2001:89-101.

[11] 凌肇元.集成电路应用实例集锦[M].北京:人民邮电出版社,1999:65-69.

[12] Nihal . Electronic Circuits Design and Application[M]. Berlin: Springer, 2001: 89-102.

[13] Mark Orenstein. Microelectronic Circuits and Devices[M]. London: Prentice Hall, 1996: 20-26.

[14] 谢自美.电子线路设计[M].武汉:华中理工大学出版社,2000:150-161.

[15] 王琉银.脉冲与数字电路[M].北京:高等教育出版,2005:123-131.

附录

数字钟的主体电路

3.3k Ω

&

&

& 1

1 分十位 进位脉冲 秒十位 进位脉冲

3.3k Ω

0.01μF

S 2

S 1

校时脉冲 +5V

&

&

&

0.01μF

1Hz

0.01μF

0.1μF 5.1k Ω

10k Ω

2k Ω 8

4

1

5

7

6 2

555

3

1kHz

500Hz

+5V

12 11

Q 0 Q 3 74LS90(1) 14 1 2 6

CP A CP B R 0(1) R 9(1) Q 0 Q 3 74LS90(2) CP A CP B R 0(1) R 9(1)

Q 0 Q 3

74LS90(3) CP A CP B R 0(1) R 9(1)

10Hz

74LS48(6) A 3 A 2 A 1

A 0

6 2 1 7

74LS48(5) A 3 A 2 A 1 A 0

74LS48(4) A 3 A 2 A 1 A 0 74LS48(3) A 3 A 2 A 1 A 0 74LS48(2) A 3 A 2 A 1 A 0 74LS48(1) A 3 A 2 A 1 A 0

Q 3 Q 2 Q 1 Q 0 74LS90(4) CP A CP B R 0(1) R 9(1) 74LS92(1) CP A CP B R 0(1) 74LS90(5) CP A CP B R 0(1) R 9(1) 74LS92(2) CP A CP B R 0(1) Q 3 Q 2 Q 1 Q 0 Q 3 Q 2 Q 1 Q 0 Q 3 Q 2 Q 1 Q 0 74LS191 Q 3 Q 2 Q 1 Q 0

LD U/D 1

1

&

& &

7 6 2 3 D 3 D 2 D 1 D 0 G CP 5

2

3

1

3.3k Ω

+5V

1Q 1D

1Q 1CP 11 9 10 15 1 14

4 1Hz

74LS74

g a

3 8 g a 3 8 g a 3 8 g a 3 8 g a 3 8 g a

3 8

BS202?6

5 1R D 9 11 12 9 1 14

9

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

多功能数字时钟设计

课程设计报告 学生姓名:刘佳 学 号:2017307010102 学院:电气工程学院 班级:通信171 题目:多功能数字时钟设计 指导教师:刘晓峰职称: 高级实验师指导教师:杨修宇职称: 实验师 2018 年 12 月 28 日

目录 1. 设计要求 (3) 2. 设计原理及框图 (3) 2.1 模块组成 (3) 3. 器件说明 (4) 4. 设计过程 (8) 4.1显示电路模块设计 (8) 4.2时钟脉冲电路模块设计 (9) 4.3计时模块电路设计 (10) 4.4计时校时控制模块电路设计 (11) 4.5整点报时与定点报时模块电路设计 (12) 5. 仿真调试过程 (13) 6. 收音机原理及焊接调试 (14) 6.1收音机原理 (14) 6.2收音机焊接工艺要求 (16) 6.3收音机调试过程 (16) 7. 设计体会及收获 (17)

1. 设计要求 (1)以24小时为一个计时周期,稳定的显示时、分、秒。 (2)当电路发生走时误差时,可以对所设计的时钟进行校时。 (3)电路有整点报时功能。报时声响为四低一高,最后一响高音正好为整点。 (4)电路具有闹钟功能,当闹钟所设定时间与时钟计时相同时,发出提示音, 时长为一分钟。 2. 设计原理及框图 2.1 模块组成 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组。如图1所示。 图1 多功能数字时钟原理框图 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组成。时钟脉冲电路模块由振荡电路与分频电路组成,为数字时钟提供秒脉冲信号、定点整点报时信号以及调试信号。计时电路包括“秒”计时、“分”计时与“时”计时电

多功能数字电子钟的设计

学号20103010342 毕业设计说明书 设计题目多功能数字电子钟的设计 系部机械电子系 专业机电一体化 班级机电103 班 姓名关付玲 指导教师肖玉玲 2012年 10月 13日

摘要 摘要:数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时,整点报时和校时的功能。在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。实验证明该设计电路基本上能够符合设计要求! 关键词:计数器;译码显示器;校时电路;

Abstract Abstract:Digital clock is a "time", "Sub", "second" displays the organ in human visual mechanism. Its time for a period of 24 hours, show full scale 23:59 for 59 seconds. A basic digital clock circuits consists of second signal generator, "hours, minutes, seconds," counters, decoders and display components. Because of its pure digital hardware design, compared with the traditional mechanical watch, it has left, presents an intuitive, non-mechanical transmission device and so on. This digital clock used in the design of digital circuits on the "time" and "min", "second" display and adjustment. Through the use of integrated digital chip circuit structures to achieve appropriate functionality. Specific use of 555 oscillator, 74LS90 and non-, exclusive-or gate integrated circuits and so on. The circuits with timing, the whole point of time and error correction capabilities. In the analysis of the entire module and overall circuit diagram is painted, simulation to emulation and modules record the observed results. Experimental proof of the design circuit can basically meet the design requirement! Key words:Counter ,ten decoding display , citcuit Shool

数字电子钟设计报告

《电子线路课程设计报告》 系别:自动化 专业班级:自动化0803 学生姓名:冯刚 指导教师:朱定华 (课程设计时间:2010年05月31日——2010年06月12日) 华中科技大学武昌分校

目录 1.课程设计目的 (3) 2.课程设计题目描述和要求 (3) 3.课程设计报告内容.....................................................................3-9 3.1实验名称 (3) 3.2实验目的 (3) 3.3实验器材及主要器件 (3) 3.4数字电子钟基本原理 (4) 3.5数字电子钟单元电路设计、参数计算和器件选择..............................4-8 3.6数字电子钟电路图 (8) 3.7数字电子钟的组装与调试............................................................8-9 4.总结 (9) 参考文献 (10)

1.课程设计目的 ※掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; ※进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;※提高电路布局﹑布线及检查和排除故障的能力; ※培养书写综合实验报告的能力。 2.课程设计题目描述和要求 (1)设计一个有“时”、“分”、“秒”(12小时59分59秒)显示,且有校时功能的电子钟; (2)用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试; (3)画出框图和逻辑电路图,写出设计、实验总结报告; (4)选做:整点报时。在59分51秒、53秒、55秒、57秒输出500Hz音频信号,在59分59秒时输出1000Hz信号,音频持续1s,在1000Hz荧屏结束时刻为整点。 3.课程设计报告内容 3.1实验名称 数字电子钟 3.2实验目的 ·掌握数字电子钟的设计、组装与调试方法; ·熟悉集成电路的使用方法。 3.3实验器材及主要器件 (1)74LS48(6片)(2)74LS90(5片)(3)74LS191(1片)(4)74LS00(5片)(5)74LS04(3片)(6)74LS74(1片)(7)74LS2O(2片) (8)555集成芯片(1片) (9)共阴七段显示器(6片)(10)电阻、电容、导线等(若干)

多功能数字电子钟-数电课程设计

华南理工大学广州学院 数字电路课程设计报告 题目:多功能数字电子钟 专业:自动化 班级:一班 姓名:姚楸 同组队员:陈杰涛、姚楸、李卓鸿、刘志健、 吴壁文、陈孟鹏、黎杰豪、江泉河 学号: 201130087082 日期:2013年1月

一、设计目的 为了巩固课本所学知识,培养动手能力和实际解决问题的能力,加深对课 堂知识的理解和运用,进一步学习和熟悉各种常用芯片的规格和使用,能 掌握电路的组装和基本问题的排除。通过课程设计要实现以下两个目标:一、学生初步掌握电子线路的设计、组装及调试方法。即学生根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计要求和设计指标: a设计一个能显示时、分、秒的数字钟,显示时间从00:00:00到23:59:59; b设计的电路包括产生时基信号,时、分、秒的计时电路,显示电路。 c具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; d计时过程具有整点报时功能,当时间到达整点前10秒进行蜂鸣报时 三、总体框图设计 本设计通过555定时器产生1HZ的方波通过加法器进行计数, 计数后产生的BCD码通过译码器译码最后通过数码管显示出 来。

四、功能模块设计和原理说明 1、秒脉冲发生器 秒信号发生电路由集成电路555定时器与RC组成的多谐振荡器构成。555

数字电子时钟设计

电子技术课程设计 数字电子时钟的设计 摘要: 设计一个周期为24小时,显示满刻度为23时59分59秒,具有校时功能和报时功能的电子钟。本系统的设计电路由时钟译码显示电路模块、脉冲逻辑电路模块、时钟脉冲模块、整电报时模块、校时模

块等部分组成。计数器采用异步双十进制计数器74LS90,发生器使用石英振荡器,分频器4060CD及双D触发器74LS74D,整电报时电路用门电路及扬声器构成。 一、设计的任务与要求 电子技术课程设计的主要任务是通过解决一,两个实际问题,巩固和加深在“模拟电子技术基础”和“数字电子技术基础”课程中所学的理论知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为以后从事生产和科研工作打下一定的基础。电子技术课程设计的主要内容包括理论设计、仿真实验、安装与调试及写出设计总结报告。衡量课程设计完成好坏的标准是:理论设计正确无误;产品工作稳定可靠,能达到所需要的性能指标。 本次课程设计的题目是“多功能数字电子钟电路设计”。要求学生运用数字电路,模拟电路等课程所学知识完成一个实际电子器件设计。 二、设计目的 1、让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统 的设计、安装、测试方法; 2、进一步巩固所学的理论知识,提高运用所学知识分析和解决实 际问题的能力; 3、提高电路布局﹑布线及检查和排除故障的能力; 4、培养书写综合实验报告的能力。

三、原理方框图如下 1、图中晶体振荡电路由石英32.768KHZ及集成芯。 2、图中分频器4060BD芯片及D触发器构成分频器。 3、计数器由二——五——十73LS90芯片构成。 4、图中DCD_HEX显示器用七段数码显示器且本身带有译码器。 5、图中校时电路和报时电路用门电路构成。 四、单元电路的设计和元器件的选择 1、十进制计数电路的设计 74LS90集成芯片是二—五—十进制计数器,所以将INB与QA 相连;R0(1)、R0(2)、R9(1)、R9(2)接地(低电平);INA

基于VHDL的多功能数字钟设计报告

基于VHDL的多功能数字钟 设计报告 021215班 卫时章 02121451

一、设计要求 1、具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。 2、设计精度要求为1秒。 二、设计环境:Quartus II 三、系统功能描述 1、系统输入:时钟信号clk采用50MHz;系统状态及较时、定时转换的控制信号为k、set,校时复位信号为reset,均由按键信号产生。 2、系统输出:LED显示输出;蜂鸣器声音信号输出。 3、多功能数字电子钟系统功能的具体描述如下: (一)计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。 (二)校时:在计时显示状态下,按下“k”键,进入“小时”待校准状态,若此时按下“set”键,小时开始校准;之后按下“k”键则进入“分”待校准状态;继续按下“k”键则进入“秒”待复零状态;再次按下“k”键数码管显示闹钟时间,并进入闹钟“小时”待校准状态;再次按下“k”键则进入闹钟“分”待校准状态;若再按下“k”键恢复到正常计时显示状态。若校时过程中按下“reset”键,则系统恢复到正常计数状态。 (1)“小时”校准状态:在“小时”校准状态下,显示“小时”的数码管以2Hz 闪烁,并按下“set”键时以2Hz的频率递增计数。 (2)“分”校准状态:在“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (3)“秒”校准状态:在“秒复零”状态下,显示“秒”的数码管以2Hz闪烁,并以1Hz的频率递增计数。 (4)闹钟“小时”校准状态:在闹钟“小时”校准状态下,显示“小时”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (5)闹钟“分”校准状态:在闹钟“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (三)整点报时:蜂鸣器在“59”分钟的第“51”、“53”、“55”、“57”秒发频率为500Hz的低音,在“59”分钟的第“59”秒发频率为1000Hz的高音,结束时为整点。 (四)显示:采用扫描显示方式驱动4个LED数码管显示小时、分,秒由两组led灯以4位BCD 码显示。 (五)闹钟:闹钟定时时间到,蜂鸣器发出频率为1000Hz的高音,持续时间为60秒。 四、各个模块分析说明 1、分频器模块(freq.vhd) (1)模块说明:输入一个频率为50MHz的CLK,利用计数器分出 1KHz的q1KHz,500Hz的q500Hz,2Hz的q2Hz和1Hz的q1Hz。 (2)源程序: library ieee;

数字电子钟课程设计报告-数电

华东交通大学理工学院课程设计报告书所属课程名称数字电子技术课程设计题目数字电子钟课程设计分院电信分院 专业班级10电信2班 学号20100210410201 学生姓名陈晓娟 指导教师徐涢基 20 12 年12 月18 日

目录 第1章课程设计内容及要求 (3) 第2章元器件清单及主要器件介绍 (5) 第3章原理设计和功能描述 (10) 第4章数字电子钟的实现 (15) 第5章实验心得 (17) 第6章参考文献 (18)

第1章课程设计内容及要求 1.1 数字钟简介 20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。 因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。 1.2 设计目的 1. 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2. 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3. 提高电路布局,布线及检查和排除故障的能力。 1.3 设计要求 1. 设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。 2. 用中小规模集成电路组成电子钟,并在实验箱上进行组 装、调试。 3. 画出框图和逻辑电路图、写出设计、实验总结报告。 4. 整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

数字电子时钟逻辑电路设计

《数字逻辑》 课程设计报告 设计题目:数字电子钟 组员:冯燕升、吴永涛、卓小林、蔡卿指导老师:麦山 日期:2013/12/27

摘要数字电子钟是一种用数字显示秒、分、时的计时装置,本次数字时钟电路设计采用GAL系列芯片来分别实现时、分、秒的24进制和60进制的循环电路,并支持手动校正的功能。 关键词数字电子钟;计数器;GAL 1设计任务及其工作原理 用集成电路设计一台能自动显示时、分、秒的数字电子钟,只要将开关置于手动位置,可分别对秒、分、时进行手动脉冲输入调整或连续脉冲输入的校正。 1.1工作原理 本数字电子钟的设计是根据时、分、秒各个部分的的功能的不同,分别用GAL16V8D 设计成六十进制计数器,个位设计成十进制计数器,十位设计成六进制进制计数器(计数从00到59时清零并向前进位)。分部分的设计与秒部分的设计完全相同;用GAL22V10D设计时的个位,设计成二进制计数器,十位设计为四进制计数器,当时钟计数到23时59分59秒时,使计数器的小时部分清零,进而实现整体循环计时的功能。 2电路的组成 2.1 计数器部分:利用GAL22V10和GAL16V8D芯片分别组成二十四进制计数器和六十进制计数器,它们采用同步连接,利用外接标准脉冲信号进行计数。 2.2 显示部分:将三片GAL芯片对应的引脚分别接到实验箱上的七段共阴数码显示管上,根据脉冲的个数显示时间。 3.3 分频器:由于实验箱上提供的时钟脉冲的时间间隔太小,所以使用GAL16V8D和CD4040芯片设计一个分频器,使连续输出脉冲信号时间间隔为0.5s 3设计步骤及方法 3.1 分和秒部分的设计: 分和秒部分的设计是采用GAL16V8D芯片来设计的60进制计数器,具体设计如图1示:

数字电子钟设计说明

华南农业大学 电子线路综合设计 数字电子钟 班级:14电气类8班组别:4 指导教师: 2016年月

电子数字钟是一种用数字电路技术实现时、分、秒计时的装置,比机械式时钟具有更高的精确性。本次课程设计的电子数字钟,具有以下功能:用24进制,从00开始到23后再回到00,各用2位数码管显示时、分、秒(如23:52:45);可实现手动或自动的对时、分进行校正;计时过程具有报时功能,当时间到达整点前10秒进行报时,蜂鸣器响1秒停1秒地响5次。整个电路设计主要包括秒信号产生电路、时分秒计数电路、译码显示电路、时分的校正电路以及整点报时电路。 秒信号产生电路由石英晶体振荡器和分频器实现,将此信号接到秒计数器的信号输入端,在秒信号的驱动下,秒计数器向分计数器进位,分计数器向时计数器进位,最后通过译码器将计数器中的状态以时间的形式显示在数码管。整点报时电路由计时电路的输出状态产生脉冲信号送至蜂鸣器实现报时。校时电路加上一个脉冲送到时分计时器电路从而实现时和分的校整。 为了更好的完成本次课程设计,我们对题目进行了分析讨论,参考了很多相关的资料,同时考虑到实验室能提供的设备仪器及元件,确定了初步的设计方案;经过多次软件仿真,确定并完善了最终的设计方案。根据设计方案进行焊接、电子仪表检查、调试并测量电路的工作状态,排除电路故障,调整元件参数,改进电路性能,使之达到设计的指标和要求,做出成品。 关键词:晶体振荡器CD4060 CD4511 74LS90

1系统概述 (1) 1.1 设计任务和目的 (1) 1.2系统设计思路与总体方案 (1) 1.3设计方案选择 (1) 1.4总体工作过程 (2) 1.5各功能模块的划分和组成 (2) 2电路系统设计与分析 (4) 2.1秒信号的发生电路 (4) 2.2时、分、秒计数电路 (5) 2.3译码显示电路 (6) 2.4时、分校正电路 (7) 2.5整点报时电路 (8) 3电路的安装与调试 (9) 3.1安装调试的步骤 (9) 3.2电路软件仿真调式 (9) 3.3电路焊接及实物调式 (10) 3.4实验过程可能存在的问题 (10) 4实验数据和误差分析 (11) 5实验结论及分析 (11) 6实验收获、体会和建议 (12) 参考文献 (13) 附录1元器件清单明细表 (14) 附录2总原理接线图 (15) 附录3 电路焊接实物图 (16) 致 (17)

数字电子时钟课程设计

数字电子技术基础课程设计报告 班级:姓名: 学号: 一、设计目的 1掌握专业基础知识的综合能力。 2完成设计电路的原理设计、故障排除。 3逐步建立电子系统的研发、设计能力,为毕业设计打好基础。 4让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法。 5进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 6培养书写综合实验报告的能力。 二、设计仪器 1 LM555CH 2 74LS161N 74LS160N 74LS290 3 74LS00 74LS08 4 电源电阻电容二极管接地等 三数字电子钟的基本功能及用途 现在数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性

能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,,因此在许多电子设备中被广泛使用。 电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。 多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点。电路装置十分小巧,安装使用也方便。同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱。 四设计原理及方框图 数字钟实际上是一个对标准频率进行计数的计数电路,标准的1HZ时间信号必须做到准确稳定。由图可见:本数字钟电路主要由震荡器、、时分秒计数器、译码显示器构成。它们的工作原理是:由震荡器产生的高频脉冲信号作为数字钟的时间基准,送入秒计数

数电课程设计多功能数字钟的电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4)

2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

多功能数字钟电路设计

多功能数字钟电路设计 一、数字电子钟设计摘要 (2) 二、数字电子钟方案框图 (2) 三、单元电路设计及相关元器件的选择 (3) 1.6进制计数器电路的设计 (3) 2.10进制计数器电路的设计 (4) 3.60进制计数器电路的设计 (4) 4.时间计数器电路的设计 (5) 5.校正电路的设计 (6) 6.时钟电路的设计 (7) 7.整点报时电路设计 (8) 8. 译码驱动及单元显示电路 (9) 四、系统电路总图及原理 (9) 五、经验体会 (10) 六、参考文献 (10) 附录A:系统电路原理图 附录B:元器件清单

一、数字电子钟设计摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 二、数字电子钟方案框图 图1 数字电子钟方案框图

三、单元电路设计和元器件的选择 1. 6进制计数器电路的设计 现要设计一个6进制的计数器,采用一片中规模集成电路74LS90N芯片,先接成十进制,再转换成6进制,利用“反馈清零”的方法即可实现6进制计数,如图2所示。 图2

2. 10进制电路设计 图3 3. 60 进数器电路的设计 “秒”计数器与“分”计数器都是六十进制,它由一级十进制计数器和一级六进制计数器连接而成,如图4所示,采用两片中规模集成电路74LS90N串接起来构成“秒”“分”计数器。

多功能数字钟的设计

《Protel应用》课程设计任务书 学生姓名:专业班级: 指导教师:黄铮工作单位:信息工程学院 题目:多功能数字钟的设计 主要目的就是对学生进行基础课程、基本技能、基本动手能力的强化训练,提高学生的基础理论知识、基本动手能力,提高人才培养的基本素质。 一、训练内容和要求 1、绘制具有一定规模、一定复杂程度的电路原理图*.sch(自选)。可以涉及模拟、数字、高频、单片机、或者一个具有完备功能的电子电路系统。 2、绘制相应电路原理图的双面印刷版图*.pcb。对电路原理图进行仿真,给出仿真结果(如波形*.sdf、数据)并说明是否达到设计意图。 3、基本动手能力和知识应用能力强化训练 1)学习PROTEL软件; 2)绘制电路的原理图和PCB版图,要求图纸绘制清晰、布线合理、符合绘图规范; 4、查阅至少5篇参考文献,按《武汉理工大学课程设计工作规范》要求撰写基础强化训练报告书,全文用A4纸打印。 二、初始条件 模电数电基本知识;计算机;MULTISIM 软件;PROTEL软件 时间安排: 6.13:理论设计 6.14~6.17:安装调试仿真 6.18~6.19:撰写报告 6.20:答辩 指导教师签名:年月日

系主任(或责任教师)签名:年月日 目录 摘要 (1) Abstract (2) 1 设计要求 (3) 2.1脉冲产生电路 (3) 2.1.1振荡器的设计 (3) 2.1.2分频器的设计 (5) 2.2记时电路 (6) 2.2.1分秒记时部分设计 (7) 2.2.2时记时部分设计 (8) 2.2.3显示电路 (8) 2.2.3校准电路 (9) 2.2.3总体设计电路 (10) 2.2.3仿真验证 (11) 3 Protel的运用 (12) 3.1创建项目 (12) 3.2摆放元件 (14) 3.3绘制原理图 (15) 3.4更改元件属性 (16)

单片机原理课程设计基于AT89C52的电子时钟设计说明

单片机原理课程设计 题目: 基于AT89C52的电子时钟设计 姓名: 学院: 专业: 班级: 学号: 指导教师: 年月日 农业大学教务处制

aortiu 目录 摘要 (2) 关键词 (2) 引言 (2) 1设计要求与方案论证 (2) 1.1设计要求 (2) 1.2系统方案选择方案和论证 (2) 1.2.1单片机芯片的选择方案和论证 (2) 1.2.2 显示模块选择方案和论证 (3) 1.2.3 时钟芯片的选择方案和论证 (3) 2.系统的硬件设计与实现 (3) 2.1电路设计框图 (3) 2.2系统硬件概述 (3) 2.3主要单元电路的设计 (4) 2.3.1 单片机主控制模块的设计 (4) 2.3.2时钟电路模块的设计 (4) 2.3.3 键盘模块设计 (5) 2.3.4蜂鸣器模块的设计 (5) 2.3.5显示模块的设计 (5) 3.系统的软件设计 (6) 3.1程序流程框图 (6) 3.2程序的设计 (7) 4.系统调试 (7) 4.1软件调试 (7) 4.2硬件调试 (8) 4.3 实验箱调试结果 (8) 5.总结心得体会 (9) 附录一:系统程序 (9)

基于AT89C52的电子时钟设计 指导教师:吕成绪胡飞 摘要:单片机在电子产品中的应用越来越广泛,特别是51系列的单片机,由于其使用方便、价格低廉等优势,在市场上占有很大的份额。AT89C52就是51系列中的一个比较成熟的型号。本设计是一个多功能的实时时钟,带秒表、整点报时、闹铃、调整时间等功能。可按键直接设置闹铃时间。由AT89C51单片机、DS1302、LCD1602等模块组成。现代社会,时间就是金钱,时钟是每个人的必备品。本设计实现了所需功能,给大家带来方便,整体性好、人性化强、可靠性高,实现了时钟的多功能应用。 关键词:电子时钟;DS1302;LCD1602; 引言: 随着科技的快速发展,时间的流逝,从观太阳、摆钟到现在电子钟,人类不断研究,不断创新纪录。美国DALLAS公司推出的具有涓细电流充电能的低功耗实时时钟电路DS1302。它可以对年、月、日、周、时、分、秒进行计时,还具有闰年补偿等多种功能,而且DS1302的使用寿命长,误差小。对于数字电子时钟采用直观的数字显示,可以同时显示年、月、日、周日、时、分、秒和温度等信息,还具有时间校准等功能。该设计以AT89C51单片机作为核心,功耗小,能在3V的低压工作,电压可选用3~5V电压供电。 综上所述,此电子时钟具有读取方便、显示直观、功能多样、电路简洁、成本低廉等诸多优点,符合电子仪器仪表的发展趋势,具有广阔的市场前景。 1.设计要求与方案 1.1 设计要求: (1)启动时显示制作的年、月、日、制作者的学号等信息。 (2)24小时计时功能(精确到秒) (3)整点报时功能。 (4)秒表功能 (5)省电功能模式(未设计) 1.2 系统基本方案选择 1.2.1单片机芯片的选择方案和论证 方案一: 采用89C51芯片作为硬件核心,采用Flash ROM,部具有4KB ROM 存储空间,能于3V的超低压工作,而且与MCS-51系列单片机完全兼容,但是运用于电路设计中时由于不具备ISP在线编程技术, 当在对电路进行调试时,由于程序的错误修改或对程序的新增功能需要烧入程序时,对芯片的多次拔插会对芯片造成一定的损坏。 方案二: 采用AT89S52,片ROM全都采用Flash ROM;能以3V的超底压工作;同时也与MCS-51

数字时钟设计实验报告

电子课程设计题目:数字时钟

数字时钟设计实验报告 设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 设计方案: 由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 电路框图: 图一 数字时钟电路框图 电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 振荡器: 通常用555定时器与RC 构成的多谐振荡器,经过调整输出1000Hz 脉冲。 分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz 标准秒脉冲。其电路图如下: 译码器 译码器 译码器 时计数器 (24进制) 分计数器 (60进制) 秒计数器 (60进制) 校 时 电 路 秒信号发生器

图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图三60进制--秒计数电路 60进制——分计数电路 分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:来自秒计数电路的进位脉冲使分的个位加1,利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位

电子综合设计-基于单片机多功能数字时钟的设计(附完整程序)

课题:基于51单片机的多功能数字时钟系统设计 一、概述、设计思路 该设计方案是以MC51单片机为核心,采用LCD液晶屏幕显示系统,辅以闹钟模块,温度采集模块、日期提醒、键盘时间调整预设置等模块,所构建的数字时钟系统,能动态显示实时时钟的时、分、秒,数据显示(误差限制在30每天),对闹铃方式与温度调节模块进行了重点设计实现SB0、SB1、SB2、SB3四个键实现时钟正常显示,调时,及闹钟时间设置。本系统设计大部分功能有软件来实现,使电路简单明了,系统稳定性也得大大提高。 二、系统组成与工作原理 1、工作原理: 本设计采用STC89C51单片机作为本次课程设计的控制模块。单片机可把由DS18B20、DS1302、AT24C02中的数据利用软件来进行处理,从而把数据传输到显示模块,实现温度、日历和闹铃的显示。以LCD液晶显示器为显示模块,把单片机传来的的数据显示出来,并且显示多样化,在显示电路中,主要靠按键来实现各种显示要求的选择与切换。 2、总是设计框架图:

图二:系统总体电路图 三、单元电路的设计与分析 整个电子时钟系统电路可分为六大部分:中央处理单元(CPU)、复位电路部分、显示部分、键盘输入部分、温度采集部分。 1、MCS-51单片机 VCC: 89S51 电源正端输入,接+5V。 VSS: 电源地端。

XTAL1: 单芯片系统时钟的反相放大器输入端。 XTAL2: 系统时钟的反相放大器输出端,一般在设计上只要在XTAL1 和XTAL2 上接上一只石英振荡晶体系统就可以动作了,此外可以在两引脚与地之间加入一20PF 的小电容,可以使系统更稳定,避免噪声干扰而死机。 RESET: 89S51的重置引脚,高电平动作,当要对晶片重置时,只要对此引脚电平提升至高电平并保持两个机器周期以上的时间,AT89S51便能完成系统重置的各项动作,使得内部特殊功能寄存器之内容均被设成已知状态,并且至地址0000H处开始读入程序代码而执行程序。 EA/Vpp: "EA"为英文"External Access"的缩写,表示存取外部程序代码之意,低电平动作,也就是说当此引脚接低电平后,系统会取用外部的程序代码(存于外部EPROM中)来执行程序。因此在8031及8032中,EA引脚必须接低电平,因为其内部无程序存储器空间。如果是使用8751 内部程序空间时,此引脚要接成高电平。此外,在将程序代码烧录至8751内部EPROM时,可以利用此引脚来输入21V的烧录高压(Vpp)。 ALE/PROG: 端口3的管脚设置: P3.0:RXD,串行通信输入。 P3.1:TXD,串行通信输出。 P3.2:INT0,外部中断0输入。

数字电子钟设计说明..

数字电子钟课程设计 一、设计任务与要求 (1)设计一个能显示时、分、秒的数字电子钟,显示时间从00: 00: 00到23: 59: 59; (2)设计的电路包括产生时钟信号,时、分、秒的计时电路和显示电路(3)电 路能实现校正 (5)整点报时 二、单元电路设计与参数计算 1. 振荡器 石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有 了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。这用压电谐振的频率即为晶体振荡器的固有频率。 2. 分频器 由于振荡器产生的频率很高,要得到秒脉冲需要分频,本实验采用一片74LS90 和两片74LS160实现,得到需要的秒脉冲信号。

3. 计数器 秒脉冲信号经过计数器,分别得到“秒”个位、十位、“分”个位、十位以及 “时”个位、十位的计时。“秒” “分”计数器为六十进制,小时为二十四进制。 (1)六十进制计数 由分频器来的秒脉冲信号,首先送到“秒”计数器进行累加计数,秒计数器应完 成一分钟之内秒数目的累加,并达到 60秒时产生一个进位信号。本作品选用一 片74LS161和一片74LS160采取同步置数的方式组成六十进制的计数器。 (2)二十四进制计数 “24翻1”小时计数器按照“ 00— 01—02,, 22—23— 00—01”规律计数。与生 活中计数规律相同。二十四进制计数同样选用74LS161和74LS160计数芯片。但 清零方式采用的是异步清零方式。 MMgM 加 EHagij Z 1 进位信号 脉冲

数字电子钟--设计加详细说明(全)

中国……….. 电子技术课程设计总结报告题目:数字电子钟 学生姓名: 系别: 专业年级: 指导教师: 年月日

一、设计任务与要求 1、用单片机设计一个数字电子钟,采用LED数码管来显示时间。 2、显示格式为:XX:XX:XX,即:时:分:秒。 3、时间采用24小时制显示, 4、设置一个按键用于时间显示方式的切换,能进行时间的调整,可暂停时间的变动。.. 二、方案设计与论证 图1 系统整体框图 1、单片机芯片选择方案 方案一:AT89S52是一个低功耗,高性能CMOS 8位单片机,片内含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器。主要性能有:与MCS-51单片机产品兼容、全静态操作:0Hz~33Hz、三级加密程序存储器、32个可编程I/O口线、三个16位定时器/计数器、八个中断源、全双工UART串行通道、掉电后中断可唤醒、看门狗定时器、双数据指针、掉电标识符、易编程。 方案二:AT89C52是一个低电压,高性能CMOS 8位单片机,片内含8k bytes 的可反复擦写的Flash只读程序存储器和256 bytes的随机存取数据存储器(RAM)。主要性能有:兼容MCS51指令系统、32个双向I/O口、256x8bit内部RAM、3个16位可编程定时/计数器中断、时钟频率0-24MHz、2个串行中断、可编程UART串行通道、2个外部中断源、6个中断源、2个读写中断口线、3级加密位、低功耗空闲和掉电模式、软件设置睡眠和唤醒功能。 从单片机芯片主要性能角度出发,本数字电子钟单片机芯片选择设计采用方案一。 2、数码管显示选择方案 方案一:静态显示。静态显示,即当显示器显示某一字符时,相应的发光二极管恒定导通或截止。该方式每一位都需要一个8 位输出口控制。静态显示时

相关主题
文本预览
相关文档 最新文档