当前位置:文档之家› sopc课程设计

sopc课程设计

sopc课程设计
sopc课程设计

SOPC课程设计

———彩灯控制器的设计

专业:电子工程

班级:一班

学号: ********

姓名: ******

指导老师: ******

日期: 20**年**月**

摘要

本设计旨在运用EDA技术,通过计数器的分频,实现对彩灯的发光二极管和数码管的同步动态显示,掌握编写设计程序的技巧,彩灯控制器的设计核心主要是分频器的使用,通过计数器的分频,将控制器外接的频率分为几个预先设定的值,从而达到预期效果。

关键词:时序控制分频器计数器

Abstract

This design purpose to use EDA technology, through the counter, and to realize the separate frequency lantern leds and digital tube synchronous dynamic display, grasp write design program of skill, lights controller design core is mainly points, through the use frequency of an counter points frequency, will controller external frequency is divided into several predefined value, so as to achieve the expected effect.

Key Words:sequential control divider counter

目录

摘要................................................................... I I

第 1 章绪论 (1)

1.1 EDA技术概述 (1)

1.2 Quartus工具基本模块介绍 (2)

第 2 章彩灯控制器的设计 (3)

2.1 设计思路 (3)

2.1.1 设计任务 (3)

2.1.2 课题内容 (3)

2.1.3 设计工作量 (3)

2.1.4 主要设计模块 (3)

2.1.5 基本原理 (4)

第 3 章程序设计和分析 (5)

3.1 时序控制部分 (5)

3.2 发光二极管、数码管显示及扬声器控制程序 (5)

3.2.1 花型A显示程序 (5)

3.2.2 花型B显示程序 (5)

3.2.3 花型C显示程序 (5)

第 4 章波形仿真分析 (7)

4.1 花型A波形仿真 (7)

4.2 花型B波形仿真 (8)

4.3 花型C波形仿真 (9)

第 5 章硬件测试 (10)

心得体会.............................................................. (11)

参考文献................................................. 错误!未定义书签。

第 1 章绪论

1.1 EDA技术概述

EDA技术(即Electronic Design Automation技术)就是依赖强大的计算机,在EDA 工具软件平台上,对以硬件描述语言HDL(Hardware Ddscription Langurage)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。

1.1.1 功能简介

它在硬件实现方面融合了大规模集成电路制造技术、IC版图设计、ASIC测试和封装、FPGA(Gield Peogrammable Gate Array)/CPLD(Complex Programmable Logic Device)编程下载和自动测试等技术;在计算机辅助工程方面融合了计算机辅助设计(CAD),计算机辅助制造(CAM),计算机辅助测试(CAT),计算机辅助工程(CAE)技术以及多种计算机语言的设计概念;而在现代电子学方面则容纳了更多的内容,如电子线路设计理论、数字信号处理技术、数字系统建模和优化技术及长线技术理论等。

1.1.2 VHDL的发展过程

硬件描述语言HDL是EDA技术的重要组成部分,常见的HDL主要有VHDL、Verilog HDL、ABEL、AHDL、System Verilog和SystemC。其中VHDL、Verilog和现在的EDA设计中使用最多,并且我们学习的是VHDL的编程方法和实用技术。

VHDL的英文全名是VHSIC(Very High Speed Integrated Circuit)Hardware Description

Language,由IEEE(The Institute of Electrical and Electronics Engineets)进一步发展,并在1987年作为“IEEE标准1076”公布。从此VHDL成为硬件描述语言的业界标准之一。

1.1.3 VHDL的优势

与传统的数字电子系统或IC设计相比VHDL有很大的优势,主要表现在:

第一,VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。

第二,VHDL具有也具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化,结构化设计方面,表现了强大的生命力和应用潜力。

1.2 Quartus工具基本模块介绍

EDA书中所给出的所有的实力和实验都是基于Quartus Ⅱ6.0的,其应用方法和设计流程对于其他流行EDA工具的使用具有一定的典型性和普遍性。它提供了完整的多平台设计环境,能满足各种特定设计的需要,也是单芯片可编程系统(SOPC)开发的基本设计工具,并为Altera DSP开发包进行系统模型设计提供了集成综合环境。

Quartus Ⅱ6.0包括模块化的编译器。编译器包括的功能模块有分析/综合器(Analysis &Synthesis)、适配器(Fitter)、装配器(Assembler)、时序分析器(TimingAnalyzer)、设计辅助模块(Design Assistant)、EDA网表文件生成器(EDA Netlist Writer)、编辑数据接口(Compiler Database Interface)等。可以通过选择Start Compilation 来运行所有的编译器模块,也可以通过选择Start单独运行各个模块。还可以通过选择Compiler Tool,在Compiler Tool窗口中运行该模块来启动编译器模块。

第 2 章彩灯控制器的设计

2.1 设计思路

2.1.1 设计任务

完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计论文。

2.1.2 课题内容

1.设计一个彩灯控制器,使彩灯(LED管)能连续发出三种以上不同的花型;

2.随着彩灯显示图案的变化,发出不同的音响声;

3.使用7段数码管显示当前显示的花型。

2.1.3 设计工作量

1、VHDL语言程序设计;

2、波形仿真;

3、在实验装置上进行硬件测试,并进行演示;

4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、

硬件测试、调试过程,参考文献、设计总结等。

2.1.4 主要设计模块

一.是彩灯显示和扬声器的时序控制部分;

二.是发光二极管的动态显示和数码管的动态显示,本次设计中,二者的显示同步变化;

三.是扬声器的控制部分。

流程图如下所示:

图 1-1 彩灯控制器的设计流程图

2.1.5 基本原理

彩灯控制器的设计核心主要是分频器的使用,显示部分的设计较简易。分频的方法有很多种,本次设计采用了其中较简易的一种,通过计数器的分频,将控制器外接的频率分为几个我们预先设定的值。当计数器达到预先设定的值,即产生一个上升沿,从而实现分频。

扬声器通过不同的频率控制发出不同的声音。同样发光二极管和数码管的显示速度也由其中分出来的一种频率控制(控制显示频率在1~4之间为宜)。通过使能端的控制可以控制不同的数码管显示预先设定的图案,数码管依次显示的图案为AA、BB、CC,并随着发光二极管同步动态显示。AA为自左向右显示,BB为自右向左显示,CC从二边向中间再由中间向二边发散显示。与此同时,显示不同的花型时扬声器发出不同的声音,代表不同的花型。本次设计还带有复位功能,通过复位可以使彩灯控制器恢复到最初的状态。

第 3 章程序设计和分析

3.1 时序控制部分

定义4个信号,cq,cllk1,clk2,clk3。第一次分频,为50分频,当clk发生变化,使a从000000变化到110010时产生一个上升沿clk1。如果a没有变化到110010则a继续自加,直到110010为止产生下一个上升沿。对clk进行25分频,原理同上,产生信号clk2。对clk进行8分频,产生一个新的时钟脉冲信号clk3。

对clk2再进行一次分频,当clk2发生变化,并且变化为上升沿时,z就加1,直到加到为20时,s就发生变化,加1,每当s发生变化,加到30时,s就加1。当s从00000变化到10111时,就对s清零,从而实现数码显示管和发光二极管的循环显示,以达到显示速度的要求。(具体程序见附录)

3.2 发光二极管、数码管显示及扬声器控制程序

3.2.1 花型A显示程序

当敏感信号s发生变化,对数码管进行置位和置型,0001000也就是对相应的数码管使之显示花型为A,通过对数码管使能端的置位,控制显示的数码管显示为AA ,并且发光二极管最右边显示为亮。当S每变化一次,数码管向左移动一格,发光二极管也同步向左移动,每次只亮一个灯。当s变化到00111之后执行下一段程序,同时扬声器发出声音。(具体程序见附录)

3.2.2 花型B显示程序

当敏感信号s发生变化至01000时,对数码管进行置位和置型,0000011也就是对相应的数码管使之显示花型为B,通过对数码管使能端的置位,控制显示的数码管显示为BB ,并且发光二极管最左边显示为亮。当S每变化一次,数码管向右移动一格,发光二极管也同步向右移动,每次只亮一个灯。当s变化到01111之后执行下一段程序,同时扬声器发出声音。(具体程序见附录)

3.2.3 花型C显示程序

当敏感信号s发生变化至10000时,对数码管进行置位和置型,1000110也就是对相应的数码管使之显示花型为C,通过对数码管使能端的置位,控制显示的数码管显示为CC ,并且发光二极管最左边第一个和最右边第一个显示为亮。当S每变化一次,

数码管向中间移动一格,发光二极管也同步中间移动,可以看到由二边向中间移动再发散到二边的变化过程。当s变化到10111之后执行再循环显示A花型,同时扬声器发出声音。(具体程序见附录)

第 4 章波形仿真分析

4.1 花型A波形仿真

程序经过编译之后即可进行波形的仿真,为了方便观察显示结果,这里调节仿真时间为3us ,脉冲频率clk的周期为5ns,复位信号暂且不进行仿真.。执行Quartus软件中的processing--> start simulation选项,即可观察到波形仿真图像。如下图所示为显示花型AA的仿真结果。

图 4-1 花型A波形仿真图

由图4-1可知,当clk发生变化,来上升沿时,led7s1为0001000即数码管首先显示为花型A 二极管按规定的显示,首先led7s2位01111111即最右边的二极管亮灯,led_selout为11000000即最右边的2个数码管显示2个A的花型。当clk变化到规定次数时二极管的显示开始按左移动,数码显示管也向左移动。Led7s2依次变化01111111~11111110,实现二极管的向左移动;led_selout也依次变化11000000~00000011实现数码显示管的向左移动。同时当clk信号变化50次时产生一个上升沿clk1,控制扬声器发出声音。

图4-2 花型BB的波形仿真图

由图4-2可知,当clk发生变化,来上升沿时,led7s1为0000011即数码管首先显示为花型B二极管按规定的显示,首先led7s2位11111110即最右边的二极管亮灯,led_selout为00000011即最右边的2个数码管显示2个B的花型。当clk变化到规定次数时二极管的显示开始向右移动,数码显示管也向右移动。Led7s2依次变化11111110~01111111,实现二极管的向右移动;led_selout也依次变化00000011~11000000实现数码显示管的向右移动。同时当clk信号变化25次时产生一个上升沿clk2,控制扬声器发出声音。

图4-3 花型C的波形仿真图

由图4-3可知当clk发生变化,来上升沿时,led7s1为1000110即数码管首先显示为花型C二极管按规定的显示,首先led7s2位01111110即最右边和最右边的二极管亮灯,led_selout为10000001即最右边和最左边的2个数码管显示2个C的花型。当clk 变化到规定次数时二极管的显示开始向中间移动,数码显示管中的花型也向中间移动,然后再由中间向俩边移动。Led7s2依次变化01111110~11100111~01111110,实现二极管的向中间移动再向俩边移动;led_selout也依次变化10000001~00011000~10000001实现数码显示管的向中间再向俩边移动。同时当clk信号变化8次时产生一个上升沿clk3,

控制扬声器发出声音。

第 5 章硬件测试

波形仿真完成之后即可进行引脚的分配,并进行编程下载至硬件进行测试。在Quartus 中选择Assignments->Assignments Editor,在Assignments Editor窗口中选择pin 标签页,即可分配引脚,引脚的分配情况如图5所示。

图5-1 引脚分配图

如上图所示,在实验过程中clk的引脚选择J16即可外接频率源,实验过程中选择的频率为1KHZ。其余数码管和二极管以及8个数码管的使能端引脚分配见上图,复位键引脚T10对应的按键。选择process->start compilation,重新编译,完成后形成可配置到FPGA的pan.sof文件和配置到外部的pan.pof文件。

编程下载,在quartus软件中,选择tools/programmer,在Mode中选择JTAG,点击”add file”按钮添加需要配置的pan.sof文件,选中program /configure,点击start按钮对芯片进行配置,等待一段时间后即可观察到实验结果。

在实验箱上,我们观察到实验结果按我们所设计的那样显示,即可显示我们预先设定的三种花型AA、BB、CC并且能够按要求循环移动,发光二极管也可按设计要求循环闪烁,并与数码显示管的花型变化同步。同时当显示不同的花型时扬声器发出了不同的声音。当按下复位键时我们可以看到数码显示管重新开始再最右边显示花型AA,并且扬声器停止发声。这些实验结果验证所设计程序的正确性,实现了设计内容和要求,并且有了一定的扩展功能。

心得体会

本次设计的是彩灯控制器的设计,当决定选这个课题的时候经过分析就知道关键是计数器和分频器的使用,分频的方法有很多种,对于同一种功能的实现,用VHDL可以采用多种方式进行描述,每种方式之间各有优劣,本次设计只采用了其中较简单的一种,应尽量用最简洁的语言写出所需功能的程序。

通过这次课程设计对EDA技术有了更进一步的熟悉,VHDL 语言和C语言等其他语言还是有很大的区别。VHDL是EDA技术的重要组成部分,其具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面,表现了强大的生命力和应用潜力。其主要的也是最大的优点就在于设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。在实际操作中发现设计和课本上的知识有很大联系,但又高于课本,一个简单的原理要把它应用以及和其他功能综合起来就有些困难。通过设计也巩固了我们的书本知识以及通过借阅书籍和上网查找资料,也丰富了自己对EDA的了解。

不过本次设计也存在一些不足,暴露了自己对EDA的掌握还有所欠缺。在设计过程中,分频分的太大,频率太小的话,扬声器的声音体现不出显示不同花型时的区别;频率太大的话,数码管显示速度太快,尝试分频时使用不同的脉冲信号,但没有成功。在反复调试中,最后还是成功了,但原理还不是很清楚。同时,在课程设计过程中通过与同学的交流及上网查找相关资料,也了解了他们对于这门技术的看法和今后这门技术的发展方向。总的来说,这次设计还是有所收获的。

参考文献

[1].潘松,黄继业.EDA与VHDL.[M]北京:清华大学出版社,2007

[2].徐志军,徐光辉.CPLD/FPGA的开发与应用.[M]北京:电子工业出版社,2002

[3].边计年,薛宏熙.用VHDL设计电子线路.北京:清华大学出版社,2000

[4].王金明,杨吉斌.数字系统设计与VerilogHDL.北京:机械工业出版社,2002

[5] 焦智,张增良.基于CPLD的彩灯控制器.华北航天工业学院学报,2002.7

[6] 阎石主编,数字电子技术基础。高等教育出版社,第四版。

SOPC课程设计实验报告--基于 NIOS 的 μCOS-II 实验

FPGA-CPLD原理及应用课程设计报告题目:基于NIOS的μC/OS-II实验 学院:信息与电子工程学院 专业:电子科学与技术 学号: 姓名: 指导老师: 时间:2013-7-15~2013-7-20

一、摘要 本实验项目使用Quartus II、SOPC Builder和Nios II EDS从零开始构建一个能够在DE2-115实验平台上运行的μC/OS-II操作系统的Nios II系统。初学者可以借此范例熟悉Quartus II、SOPC Builder、Nios II EDS的使用,并且了解基于FPGA的嵌入式系统开发流程。 关键词:SOPC Builder Nios II DE2Nios II EDS 二、设计要求 从零开始建立一个基于Nios II的μC/OS-II应用实验系统(也可以认为是一个Nios II+μC/OS-II的应用框架)具有以下一些作用。 (1)读者可以借助SOPC Builder工具自行对Nios II软核处理器进行配置。 (2)很多范例都是纯硬件的VHDL代码,需要自行从零开始建立Nios II 系统,不能够直接使用Altera公司已经建立好的Nios II系统。 (3)DE2-115并非Altera公司原创的开发板,而是友晶科技ODM的电路板,很多外围设备都与Altera提供的电路板不一样,所以很多Altera手册中范例都无法执行,必须要有自己从硬件到软件建立系统的能力,将来才有办法将Altera 提供的范例移植到DE2-115上执行并做到最佳化。 三、设计内容” 1、SOPC Builder硬件建立 SOPC Builder是在Quartus II里的SOPC Builder进行的,先建立工程在SOPC Builder里添加硬件,包括CPU,PLL,onchip_memory,SSRAM,SDRAM Tristate Bridge,Flash,JTAG UART,UART,Timer System ID 2、Quartus II硬件处理 硬件会自动建立一个顶层模块,通过建一个原理图来对对应的硬件进行输入输出的添加,再锁定引脚,编译工程,硬件下载。 3、Nios II DE2嵌入软件编写 在Nios II里建立工程,选择相应的模块,编写需要嵌入的软件,添加缺少的头文件对应的宏定义,编译工程,进行软件下载,在观察结果。 四、设计步骤 1、打开Quartus II新建工程

java课程设计报告书封面格式

存档资料成绩: 广西师范大学漓江学院 课程设计报告书 课程名称:面向对象程序设计课程设计 设计题目:多功能计算器 所在系部:理学系 班级:11计算机 学号: 学生姓名: 指导教师: 2012年12 月25 日

课程设计(论文)评阅意见 序号项目 等级 优秀良好中等及格不及格 1 课程设计态度及出勤情况 2 任务完成程度 3 设计中创新性 4 论文书写规范化 综合评定等级 课程设计软件演示及答辩成绩 序号项目 等级 优秀良好中等及格不及格 1 演示过程完成情况 2 对软件代码熟悉情况 3 回答问题准确性和逻辑性 综合评定等级 课程设计软件界面及功能设计成绩 序号项目 等级 优秀良好中等及格不及格 1 界面美观及可操作性 2 功能实现情况及创新性 3 代码的可读性和可维护性 综合评定等级 评阅人 年月日

课程设计任务书 一、本课程设计的目的 1.使学生能够更好地掌握Java程序设计语言和理解面向对象程序设计的基本概念与方法; 2.掌握类和对象的创建和使用,理解面向对象的封装性、继承性、多态性和面向接口编程的程序设计思想,学会利用Java语言和面向对象编程方法解决一般应用问题; 3.从实践中累积经验、培养学生分析、解决问题的能力; 4.提高学生实践论文撰写能力。 二、课程设计的教学过程与考核方法 1.课程设计以小项目的方式开展,以分组(1-2人一组)的形式进行。 2.第一次实验课时,任课教师下达课程设计题目任务,讲解课程设计的题目要求和注意事项,并要求学生根据题目要求进行界面的设计和功能代码编写工作,完成课程设计报告。 3.课程设计实验进行过程中,教师根据实验的进度分模块讲述课程设计题目的设计要点。教师给予技术和方法上的指导,让每个学生自己动手完成项目,并要求学生积极开展逻辑思维,充分发挥自己的创意,设计出优秀的课程设计作品。 4.课程设计结束后,要求学生完成课程设计报告,课程设计报告需包括目录、设计目标、实现思路、实现步骤、总结、参考文献、附录等; 5.最后需上交课程设计报告和设计的软件作品,并进行软件设计作品的演示和答辩。

SOPC技术课程设计报告书.

赣南师院 物理与电子信息学院SOPC技术课程设计报告书 专业班级:09电信本 学生姓名:胡雯莹 学号:090802054 指导教师:管立新 设计时间:2011.12.30

基于SOPC技术实现数字闹钟 一、课题简介 SOPC技术是美国Altrea公司于2000年最早提出的,并同时推出了相应的开发软件Quartus II。SOPC是基于FPGA解决方案的SOC,与ASIC的SOC解决方案相比,SOPC系统及其开发技术具有更多的特色,构成SOPC的方案有多种途径,我们主要用到的是:基于FPGA嵌入IP硬核的SOPC 系统 1.基于FPGA嵌入IP硬核的SOPC系统 即在FPGA中预先植入嵌入式系统处理器。目前最为常用的嵌入式系统大多采用了含有ARM 的32位知识产权处理器核的器件。尽管由这些器件构成的嵌入式系统有很强的功能,但为了使系统更为灵活完备,功能更为强大,对更多任务的完成具有更好的适应性,通常必须为此处理器配置许多接口器件才能构成一个完整的应用系统。如除配置常规的SRAM、DRAM、Flash外,还必须配置网络通信接口、串行通信接口、USB接口、VGA接口、PS/2接口或其他专用接口等。这样会增加整个系统的体积、功耗,而降低系统的可靠性。但是如果将ARM或其他知识产权核,以硬核方式植入FPGA中,利用FPGA中的可编程逻辑资源和IP软核,直接利用FPGA中的逻辑宏单元来构成该嵌入式系统处理器的接口功能模块,就能很好地解决这些问题。 2.基于FPGA嵌入IP软核的SOPC系统 这种SOPC系统是指在FPGA中植入软核处理器,如:NIOS II核等。用户可以根据设计的要求,利用相应的EDA工具,对NIOS II及其外围设备进行构建,使该嵌入式系统在硬件结构、功能特点、资源占用等方面全面满足用户系统设计的要求。 二、数字闹钟的工作原理及设计过程 1、工作原理

课程设计报告【模板】

模拟电子技术课程设计报告设计题目:直流稳压电源设计 专业电子信息科学与技术 班级电信092 学号 200916022230 学生姓名夏惜 指导教师王瑞 设计时间2010-2011学年上学期 教师评分 2010年月日

昆明理工大学津桥学院模拟电子技术课程设计 目录 1.概述 (2) 1.1直流稳压电源设计目的 (2) 1.2课程设计的组成部分 (2) 2.直流稳压电源设计的内容 (4) 2.1变压电路设计 (4) 2.2整流电路设计 (4) 2.3滤波电路设计 (8) 2.4稳压电路设计 (9) 2.5总电路设计 (10) 3.总结 (12) 3.1所遇到的问题,你是怎样解决这些问题的12 3.3体会收获及建议 (12) 3.4参考资料(书、论文、网络资料) (13) 4.教师评语 (13) 5.成绩 (13)

昆明理工大学津桥学院模拟电子技术课程设计 1.概述 电源是各种电子、电器设备工作的动力,是自动化不可或缺的组成部分,直流稳压电源是应用极为广泛的一种电源。直流稳压电源是常用的电子设备,它能保证在电网电压波动或负载发生变化时,输出稳定的电压。一个低纹波、高精度的稳压源在仪器仪表、工业控制及测量领域中有着重要的实际应用价值。 直流稳压电源通常由变压器、整流电路、滤波电路、稳压控制电路所组成,具有体积小,重量轻,性能稳定可等优点,电压从零起连续可调,可串联或关联使用,直流输出纹波小,稳定度高,稳压稳流自动转换、限流式过短路保护和自动恢复功能,是大专院校、工业企业、科研单位及电子维修人员理想的直流稳压电源。适用于电子仪器设备、电器维修、实验室、电解电镀、测试、测量设备、工厂电器设备配套使用。几乎所有的电子设备都需要有稳压的电压供给,才能使其处于良好的工作状态。家用电器中的电视机、音响、电脑尤其是这样。电网电压时高时低,电子设备本身耗供电造成不稳定因家。解决这个不稳定因素的办法是在电子设备的前端进行稳压。 直流稳压电源广泛应用于国防、科研、大专院校、实验室、工矿企业、电解、电镀、充电设备等的直流供电。 1.1直流稳压电源设计目的 (1)、学习直流稳压电源的设计方法; (2)、研究直流稳压电源的设计方案; (3)、掌握直流稳压电源的稳压系数和内阻测试方法。 1.2课程设计的组成部分 1.2.1 设计原理

技术课程设计基于SOPC技术实现数字闹钟

赣南师院 物理与电子信息学院 SOPC技术课程设计报告书 专业班级:09电信本 学生姓名:胡雯莹 学号:090802054 指导教师:管立新 设计时间:2011.12.30 基于SOPC技术实现数字闹钟 一、课题简介 SOPC技术是美国Altrea公司于2000年最早提出的,并同时推出了相应的开发软件Quartus II。SOPC是基于FPGA解决方案的SOC,与ASIC的SOC解决方案相比,SOPC系统及其开发技术具有更多的特色,构成SOPC的方案有多种途径,我们主要用到的是:基于FPGA嵌入IP硬核的SOPC 系统 1.基于FPGA嵌入IP硬核的SOPC系统 即在FPGA中预先植入嵌入式系统处理器。目前最为常用的嵌入式系统大多采用了含有ARM

的32位知识产权处理器核的器件。尽管由这些器件构成的嵌入式系统有很强的功能,但为了使系统更为灵活完备,功能更为强大,对更多任务的完成具有更好的适应性,通常必须为此处理器配置许多接口器件才能构成一个完整的应用系统。如除配置常规的SRAM、DRAM、Flash外,还必须配置网络通信接口、串行通信接口、USB接口、VGA接口、PS/2接口或其他专用接口等。这样会增加整个系统的体积、功耗,而降低系统的可靠性。但是如果将ARM或其他知识产权核,以硬核方式植入FPGA中,利用FPGA中的可编程逻辑资源和IP软核,直接利用FPGA中的逻辑宏单元来构成该嵌入式系统处理器的接口功能模块,就能很好地解决这些问题。 2.基于FPGA嵌入IP软核的SOPC系统 这种SOPC系统是指在FPGA中植入软核处理器,如:NIOS II核等。用户可以根据设计的要求,利用相应的EDA工具,对NIOS II及其外围设备进行构建,使该嵌入式系统在硬件结构、功能特点、资源占用等方面全面满足用户系统设计的要求。 二、数字闹钟的工作原理及设计过程 1、工作原理 数字闹钟组成结构 数字闹钟一般由振荡器、分频器、计数器、译码器、显示器及部分扩展电路等组成。 1.1 振荡器 振荡器是数字电子钟的核心,其作用是产生一个频率标准,即时间标准信号,然后再由分频器生成秒脉冲,所以,振荡器频率的精度和稳定度就基本决定了数字电子钟的准确度,为产生稳定的时间标准信号,一般采用石英晶体振荡器。从数字电子钟的精度考虑,振荡频率越高记数精度越高。

期末sopc课题设计之 电梯

一.设计目的 本课程的授课对象是电子信息工程专业本科生,是电子类专业的一门重要的实践课程,是理论与实践相结合的重要环节。本课程作为《SOPC技术与应用》的后续实践课程,有助于增强学生对SOPC技术的理解,掌握SOPC设计方法及调试能力,为今后从事现代电路设计与调试工作打下扎实的基础。 二.设计内容 我这次实验设计的题目是:电梯控制器设计 设计一个三层楼自动电梯控制器,电梯内有三个输入按钮响应用户的上下楼层请求,并有七段数码管显示电梯当前所在楼层位置;在每层电梯入口处设有请求按钮开关,指示用户的上或下的请求。由LED灯显示电梯的上下运动情况和关门信息。电梯工作过程共有6种状态:等待、上升、下降、开门、关门和停止状态。一般情况下,电梯工作起始点是第一层,起始状态是等待状态,启动条件是收到上升请求。电梯每上升或下降一层的时间假定为5秒,可通过7段数码管显示电梯的运行时间。 控制电路应能记忆所有楼层请求信号,并按方向优先控制规则依次响应:运行过程中先响应最早的请求,再响应后续的请求。如果无请求则停留当前层。如果有两个同时请求信号,则判断请求信号离当前层的距离,距离近的请求先响应,再响应较远的请求。每个请求信号保留至执行后清除。 输入输出界面: 输入:8个开关SW 输出:2位7段码,4个LEDG灯 8个开关SW从右到左为:SW0~SW7;功能在不同模式下定义不同: SW0:复位电梯工作状态,即楼层设置为1楼,而且状态为等待; SW1:1楼电梯入口处请求“上”的按钮; SW2,SW3:2楼电梯入口处请求“上”和“下”的按钮; SW4:3楼电梯入口处请求“下”的按钮; SW5~SW7:分部是电梯内部的输入按钮“1”,“2”和“3”。 2位7段码分别是HEX0和HEX1。 HEX0:表示当前电梯所在的楼层; HEX1:表示电梯上升的时间(假设电梯上升1层所用的时间为5秒)。

sopc课程设计

Sopc技术与应用课程设计交通灯控制系统 专业:电子科学与技术 学号: 姓名: 指导老师:

交通灯课程设计 一、实验目标: 1、掌握开发流程。 2、熟悉Quartus II、 NIOS II软件的使用。 二、实验内容:通过Quartus II 、SOPC Builder和NIOS II实现交通灯控制仿真。东西路线通行25秒,包括黄灯闪烁5秒;南北路线通行15秒,包括黄灯闪烁5秒。 三、实验原理与步骤 通过确立交通等需要的组件来添加组件。12位PIO_LED用来显示四方红黄绿信号,RAM用来存储程序,JTAG_UART用来传输组件和外加硬件之间的信号,PIOC_LOCK为时钟控制信号以实现秒计时,PIO_DATA为送予数码管显示的端口,TIMER为NIOS II中计时组件。通过这些组件与硬件的连接,再加以编程,就能实现交通灯的基本功能。 (1)在quartus ii中建立工程 (2)用sopc builder建立nios系统模块 (3)在quartus ii中的图形编辑界面中进行管脚连接锁定工作(4)编译工程后下载到FPGA (5)在NIOS II IDE中根据硬件建立软件工程 (6)编译后,经过简单设置下载到FPGA中进行调试、验证 第一步:硬件部分设计 1、在自己的文件目录下,建立一个自定义文件夹,这里为学号,注

意不能有空格或中文; 2、打开QUARTUS II,点击FILE菜单下的NEW Project Wizard; 3、然后输入工程存放目录,或点击工程路径右边的按钮设置工程存放目录,在第二栏中输入工程名称,此实验为jiaotongdeng;之后点击Finish,对话框消失,此时已经建立好了jiaotongdeng工程文件; 1.点击New Project Wizard菜单中的Next,选择芯片为cyclone系列的EP1C12Q240C8; 2.确认后点击Quartus II界面第一行工具栏中的SOPC Builder工具; 3.在系统名称填写jiaotong,选择,verilog语言后点击OK,在device family中选择cyclone; 4.添加元件:cpu选择32bit标准型,其他参数默认,改名为cpu(不能出现-); 5.添加JTAG UART Interface,保持默认选项,Finsh,改名jtag_uart; 6.添加内部RAM:选择memory→on-chip memory双击加入,改名RAM; 7.加入pio:选择other→pio设置为output ports noly点击finsh,重新命名,按此方法一次添加三个一位一个十二位pio并分别重命名为:PIO_CLOCK、PIO_LOCK、PIO_DATA、PIO_LED; 8.指定基地址和分配中断号:选择system下拉菜单中auto-assign base address或auto-assign irqs; 9.系统设置:双左击cpu弹出对话框,两个memory选项选择RAM;

课程设计封面及格式要求(1)

辽宁工程技术大学 土木与交通学院 课程设计 课程名称:地下结构设计原理与方法 专业:地下建筑工程 班级:地建07-3 姓名:张顺 学号:0709170329 指导教师:兰常玉 学期:2009-2010 日期:2010/12/31

附件5: 辽宁工程技术大学本科毕业设计(论文)写作规范 第一章总则 一、毕业设计(论文)是人才培养过程中的重要教学环节,实行毕业设计(论文)的规范化管理,是保证毕业设计(论文)质量的前提,也是建立科学、严谨工作作风的重要手段。 二、为了保证本科毕业设计(论文)工作规范、有序进行,根据《论文写作规范国家标准GB7713-87》,特制订本写作规范。 第二章毕业设计(论文)的规范化要求 毕业设计(论文)由开题报告、附本、毕业设计(论文)、图纸等组成。 一、开题报告 开题报告要针对毕业设计(论文)所选题目,根据毕业实习期间收集资料并通过方案设计形成,各教学单位要统一开题报告格式,完成后的开题报告由指导教师审阅并签字。

二、毕业设计(论文)附本(以下简称《附本》) 《附本》中各项内容要由责任人按要求完整并准确地填写。《附本》包括毕业设计(论文)任务书、过程检查表、中期考核记录、指导教师评语、评阅教师评语、毕业设计(论文)答辩记录及答辩委员会意见。 1.毕业设计(论文)任务书 任务书由毕业设计(论文)题目、主要内容和工作阶段安排组成,由指导教师根据情况认真填写。 2.毕业设计(论文)过程检查表 检查表由指导教师按照每个时间段应完成的阶段性工作重点,根据检查学生毕业设计(论文)的质量和进度填写。 3.毕业设计(论文)答辩记录 答辩记录由答辩小组秘书根据学生答辩时答辩小组成员提出的问题及回答情况,即时以“问”和“答”的形式认真填写,不得做总结性记录。 4.毕业设计(论文)中期考核、评语及答辩委员会意见 毕业设计(论文)中期考核、评语(包括指导教师评语、评阅教师评语)及答辩委员会意见,按栏目要求分别由专业建设负责人、指导教师、评阅教师填写并签字,答辩委员会意见由答辩委员会主席(或秘书)填写,由答辩委员会主席签字(或加盖蓝色印章)。“考核”、“评语”及“意见”要根据毕业设计(论文)的实际水平和特点,实事求是地给出,内容要有针对性,切忌千篇一律。中期考核、评阅教师及答辩小组评定成绩填写分值,答辩委员会综合三项成绩按优秀、良好、中等、及格、不及格五个级别填写总成绩。 对于参加第二次答辩的学生,相关教师要认真填写《二次答辩修改说明》。《二次答辩修改说明》要重点填写第一次答辩存在的问题、针对存在问题提出的修改意见及毕业设计(论文)的改进情况。 三、毕业设计(论文) 毕业设计(论文)包括封面、“本科毕业设计(论文)诚信承诺保证书”、中文摘要、外文摘要、目录、正文、致谢、参考文献、附录等。 1.封面 封面填写要做到清晰完整,题目等项内容要与开题报告、毕业设计(论文)正文、档案袋等处保持一致。打印封面要与学校印制的《毕业设计(论文)》封

PWM_SoPC设计报告

目录 一、课程设计要求 1.所选题目 2.基本要求 3.实验所用平台 4.总体方案流程 二、总体设计方案 1.顶层硬件电路图 2.总体方案 三、硬件电路部分 1.模块划分 2.IP核设计 四、FPGA下载代码和引脚分布 1.FPGA引脚分布 2.代码下载 五、软件部分 1.软件总体设计思路 2.软件流程图 六、程序代码 七、程序编译下载于仿真调试 八、课程设计心得总结

一、课程设计要求 1.所选题目 设计PWM控制器,要求输出脉冲频率可编程(100Hz-10Khz),占空比可编程(0-31)分辨率为1/32;用PWM输出分别控制DE2板上LED亮度,至少2路;PWM频率和占空比用NIOSII控制(用开关或键盘或UART)。 2.基本要求 课程设计要求所有题目采用Quartus II 工具提供的图形输入或者VerilogHDL语言输入方式作为电路设计工具,在NiosII上采用C 语言实现编程,自定向下正向设计方法,先设计硬件系统,再进行软件编程,能够生成正确的FPGA下载代码和NiosII执行的软件代码。硬件功能仿真和时序仿真采用第三方工具(建议为:modelsim ),综合与布局布线工具为:Quartus II,SOPC Builder建立软件运行环境。 3.实验所用平台 硬件平台: ●Xilinx Spartan-3E开发板 软件开发工具: ●ISE Design Tool(64-bit Project Navigator) ●Xilinx Platform Studio ●Xilinx Software Development Kit ●Xilinx EDK PlanAhead 4.总体方案流程

课程设计报告封面及格式

(此文档为word格式,下载后您可任意编辑修改!) 重庆科技学院 《面向对象程序设计》课程设计报告 学院:_电气与信息工程学院_ 专业班级:计科1103 学生姓名:兰倩学号: 设计地点(单位)________计算机自主学习中心 ________ __ 设计题目:___公司员工信息管理系统设计___________________ 完成日期: 2013 年1月18日 指导教师评语: ______________________ _________________ __________________________________________________________________________________ __________________________________________________________________________________ _____________________________________ __________ _ 成绩(五级记分制):______ __________

指导教师(签字):________ ________ 重庆科技学院 课程设计任务书 设计题目:公司员工信息管理系统设计

2013年1月1日

摘要 本程序是能够对公司人员进行管理,而公司需要存储的是雇员的编号、姓名、性别、所在部门、级别,并进行对工资的计算。其中,雇员分为经理、技术人员、销售人员和销售经理。而日常生活中的人员管理有添加、查询、显示、编辑、删除和统计等基本功能。该程序也同样拥有这些功能。在程序运行开始,系统将首先进行的是从文件中读取数据,而只要管理人员正确的结束程序时,系统将自动保存所修改的数据。在系统运行中,必须按照提示进行操作,否则系统报错,而往往操作人员只有6次机会,若连续6次操作失败,该界面将自动退出,若该页面为主页面,则退出该系统。 关键字:公司管理雇员数据

SOPC课程设计——模拟十字路口交通灯

SOPC设计与应用实验 课程设计报告 学院:机械与电子工程学院 专业:电子科学与技术 班级:10206301 学号:1020630126 姓名:陈英文 指导老师:邓文娟 2013年6月22日

一、实验简介 实验其他成员:华浩荣、蒋顺风、周利强、张瑜 组长:华浩荣 我做的模块是12个交通灯的循环点亮,红灯、绿灯亮10秒,黄灯亮5秒。实验报告重点介绍这部分内容,其它的简写。 本实验是基于ZY11203G EDA/SOPC创新实验开发系统做出来的。 1.实验平台介绍 电源模块 ? 下载接口模块 ? 数码显示模块 ? LED 显示模块 ? 液晶显示模块 ? 拨码开关模块 ? 按键模块 ? 扬声器模块

? 配置芯片 ? VGA、PS2接口模块 ? 核心功能模块 ? 串口模块 2.主要用到的模块介绍 2.1 下载接口模块 该模块为Altera 的CPLD/FPGA 器件的下载模块,该下载电路为JTAG 形式,因此还能对AS方式的配置芯片EPCSx 进行下载。 2.2 数码显示模块 数码显示模块由八个七段数码管和八个74HC595D 芯片,构成串并转换显示结构,以实现串行数据到并行数据的转换,并将该并行数据送入相应的数码管段。 八个数码管为共阴数码管(段码为高电平时,点亮数码管),数码管结构如下图所示:

2.3 LED段显示模块 12位发光二极管用于输出显示,输出高电平时发光二极管点亮。 2.4、核心功能模块介绍 主板模块的核心芯片采用Altera 公司cyclone 系列的EP1C12Q240C8;flash 存储器采用AM29LV065DU(8M×8bit);SRAM 存储器采用CY7C1041CV33(256K×16bit);AS 配置芯片为EPCS4(4M);同时给出两个钟振作为时钟源;数据地址总线采用高精度连接器与外部连接,以保证用户对Nios 二次开发的需要。实验平台右侧的双排直插针用于连接开发板,用户也可自行设计开发扩展模块。 二、实验要求及原理 1.实验要求 设计并制作一个交通灯控制电路,要求如下: 1、东西南北方向分别设置红黄绿3个指示灯,东西方向和南北方向用1个数码管显示通行时间;

课程设计封面格式

附件1:课程设计封面格式 浙江工业大学建筑工程学院课程设计指导书 课程名称:____________ 题目:____________ ____________ 学生姓名:____________ 学号:____________ 班级:____________ 指导教师:____________ 年月

附件2:课程设计指导书内容及格式 课程设计指导书统一格式 一、纸张一律使用A4纸,页边距为上下各2.54厘米,左右各3.17厘米,正文 统一为1.5倍行距 二、大标题(课程名)一律用三号黑体字,不需要书名号,小标题一律用小四 号黑体字,各章的标题一律用5号黑体字,正文一律用5号宋体字。 混凝土结构课程设计指导书(3号黑体) 课程名称:(5号宋体) 适用班级:开课学期: 学时数:上机时数:学分数: 指导教师: 一、设计目的和任务(小4号黑体) 正文用5号宋体 二、基本内容与要求 三、设计资料及有关规定 四、课程设计的步骤和方法 五、上机时数的安排 六、设计成果要求(按各专业评估资料收集要求填写) 七、时间安排 八、考核方法 九、参考资料

浙江工业大学建筑工程学院生产实习指导书 实习地点:____________ 学生姓名:____________ 学号:____________ 班级:____________ 指导教师:____________ 年月

浙江工业大学建筑工程学院认识实习指导书 课程名称:____________ 学生姓名:____________ 学号:____________ 班级:____________ 指导教师:____________ 年月

sopc课程设计

SoPC课程设计(报告)题目:液晶控制显示器 学院:电子工程学院 系部:微电子学系 专业:集成电路设计与集成系统 班级: 1002 学生姓名:曹松松 指导教师:曾泽沧 起止时间: 2013年6月17日——2013年6月28日

目录 1 课程设计要求 (2) 基本要求 (2) 2 实验使用平台 (2) 3 题目:选题2 (2) 4 课程设计总结 (5) 5 附件 (5)

1课程设计要求 基本要求 课程设计要求所有题目采用Quartus II 工具提供的图形输入或者VerilogHDL语言输入方式作为电路设计工具,在NiosII上采用C语言实现编程,自定向下正向设计方法,先设计硬件系统,再进行软件编程,能够生成正确的FPGA下载代码和NiosII执行的软件代码。硬件功能仿真和时序仿真采用第三方工具(建议为:modelsim),综合与布局布线工具为:Quartus II,SOPC Builder建立软件运行环境,具体要求为:1)根据课设题目,进行总体设计方案(10分); 2)硬件电路顶层设计、模块划分、引脚定义(10分); 3)电路设计及NiosII设计,提交电路设计源代码或电路图(10分); 4)综合与布局布线,提交综合与布局布线报告(10分); 5)FPGA下载代码和引脚分布(10分); 6)软件总体设计及画出流程图(10分); 7)程序设计,提交程序代码(10分); 8)程序编译下载及仿真调试(10分)。 2实验使用平台 实验平台使用Altera的DE2开发板,开发工具使用Altera的Quartus II和Nios II IDE。 3 题目:选题2 在字符型液晶显示器上移动显示 “XIAN UNIVERSITY POST AND TELECOMMUNICATIONS YOUR NAME 2013-6”,要求FPGA 设计硬件,内嵌NiosII,液晶显示采用软件实现。 1)根据课设题目,进行总体设计方案;(10分) 此次sopc课程设计选题2,题目相对比较简单。大体分为硬件搭建跟软件编程两块。由本次设计题目比较简单,所使用模块较少,设计方案及模块划分如下:

实验七:SOPC的设计实验

本科学生综合性实验报告 学号114090523 姓名罗朝斌 学院物电学院专业、班级11光电子 实验课程名称电子设计自动化(EDA实验) 教师及职称罗永道副教授 开课学期2013 至2014 学年下学期填报时间2014 年 6 月9 日 云南师范大学教务处编印

实验序号七实验名称SOPC的设计 实验时间2014年6月9日实验室同析楼113 一.实验预习 1.实验目的: 1、学习字符型液晶显示器的控制原理 2、学习ram的使用 3、设计字符型液晶显示控制器 4、理解nios 处理器中LCD IP 核的工作原理,软硬件驱动方式,以及从flash 启动LCD 软件代码的详细操作过程,并能够灵活的运用到自己的实际开发中。 5、掌握SOPC的设计方法,熟悉和练习QuartusⅡ的应用。 2.实验原理、实验流程或装置示意图: 液晶屏幕分为点阵型和液晶型两种,本次实验用到的是字符型可以显示2行16个字。液晶模块采用14 针标准接口第1 脚:VSS为地电源第2 脚:VDD接5V 正电源第3 脚:V0 为液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高,对比度过高时会产生“鬼影”,使用时可以通过一个10K 的电位器调整对比度第4 脚:RS为寄存器选择,高电平时选择数据寄存器、低电平时选择指令寄存器。第5 脚:RW为读写信号线,高电平时进行读操作,低电平时进行写操作。当RS 和RW 共同为低电平时可以写入指令或者显示地址,当 RS 为低电平RW 为高电平时可以读忙信号,当RS 为高电平RW 为低电平时可以写入数据。第 6 脚:E端为使能端,当E 端由高电平跳变成低电平时,液晶模块执行命令。第7~14 脚: D0~D7 为8 位双向数据线。 1602正面图 1602背面图

课程设计基于SOPC技术实现数字闹钟

基于SOPC技术实现数字闹钟 【摘要】 在现代社会,数字闹钟方便了人们的生活和工作。数码管显示的时间简单明了而且读数快、时间准确显示到秒。该数字闹钟主要采用sopc技术,设计由系统对外部机械按键模块进行扫描获取部分指令,对外部时钟分频后进行小时24分频计时模块、分钟60分频计时模块、秒钟60分频计时模块,并进一步建立年月日计时判断模块。采用LED数码管显示时、分、秒,以24小时计时方式,蜂鸣器则作为闹钟声音提示用。该数字闹钟优点是小巧,价格低廉,走时精度高,整点报时和定时非常方便。 关键字:SOPC技术数码管显示整点提示闹钟 一、课题简介 SOPC技术是美国Altrea公司于2000年最早提出的,并同时推出了相应的开发软件Quartus II。SOPC是基于FPGA解决方案的SOC,与ASIC的SOC解决方案相比,SOPC系统及其开发技术具有更多的特色,构成SOPC的方案有多种途径,我们主要用到的是:基于FPGA嵌入IP硬核的SOPC系统 1.基于FPGA嵌入IP硬核的SOPC系统 即在FPGA中预先植入嵌入式系统处理器。目前最为常用的嵌入式系统大多采用了含有ARM的32位知识产权处理器核的器件。尽管由这些器件构成的嵌入式系统有很强的功能,但为了使系统更为灵活完备,功能更为强大,对更多任务的完成具有更好的适应性,通常必须为此处理器配置许多接口器件才能构成一个完整的应用系统。如除配置常规的SRAM、DRAM、Flash外,还必须配置网络通信接口、串行通信接口、USB接口、VGA接口、PS/2接口或其他专用接口等。这样会增加整个系统的体积、功耗,而降低系统的可靠性。但是如果将ARM或其他知识产权核,以硬核方式植入FPGA中,利用FPGA中的可编程逻辑资源和IP软核,直接利用FPGA中的逻辑宏单元来构成该嵌入式系统处理器的接口功能模块,就能很好地解决这些问题。

《现代教育技术课程设计报告》封面

《现代教育技术》课程设计 设计题目: 学号: 班级: 姓名: 指导教师: 二〇一一年六月二十日

课程设计格式规范 一、撰写内容,格式 1.课程设计字数 课程设计正文不少于1000字。 2.前置部分 前置部分包括封面。 封面包括设计题目、作者姓名、指导教师姓名、专业名称等。 3.主体部分 设计主体部分包括:正文、参考文献。 正文包括 A、课程设计创意的作品主题、基本构思、素材收集整理、制作流程及应用的技术、使用软件 B、实施课程设计创意的技术与艺术设计的过程与所思所想; C、实际操作中运用了那些工具,工具使用的效果截图 D、自己操作中所遇到的问题,最后是否解决等 4.附录部分 附录是设计主体部分的补充项目,包括打印的效果彩图及其它相关图 二、书写打印 1.打印要求 课程设计要求用计算机打印。课程设计统一为A4纸规格。左侧装订。 2.排版要求 ·各章题序及标题小2号黑体 ·各节的一级标题4号黑体

·各节的二级标题小4号黑体 ·各节的三级标题小4号黑体 ·款、项小4号黑体 ·正文小4号宋体,1.5倍行距 正文中图片以及其他插图,使用标号表示,标号放在图形或图片的正下方中间,字号为5号字。如图1所示。 图1 四川黄龙 正文中有需要用到表格的,使用标号表示,标号放在表格的正上方中间,字号为5号字。如表1所示。 表1 Person数据库表 参考文献标题小2号黑体 参考文献内容小4号宋体 ·目录标题小2号黑体

·目录内容中章的标题小4号黑体 ·目录中其它内容小4号宋体 ·课程设计页码页低端居中,阿拉伯数字 ·页眉与页脚5号宋体居中 注:课程设计前置部分不编排页码,页码从第一章首页开始,课程设计不加页脚,封面不加页眉,其余均加页眉,页眉内容为:“马鞍山师专多媒体专业课程设计”。 三、参考文献 按以下格式: [序号] 作者姓名期刊名称卷号期数页码(年份) [序号] 作者姓名书名出版单位页码(年份) 例: [1] 黄克智. 板壳理论. 北京:清华大学出版社, 25—56页(1987) [2] 于立彪, 郑慕侨, 张英. 负重轮多体接触问题有限元分析. 北京理工大学学报, 22(5):572-575页(2002)

基于SOPC的嵌入式数字秒表课程设计

目录 一摘要 (3) 二课题简介 (3) 三实验总体设计 (6) 四数字秒表的工作原理及设计过程 (7) 五设计过程 (19) 六结论 (24) 七参考文献 (25)

一、摘要 目前数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。随着信息技术和网络技术的高速发展,嵌入式产品日益广泛地渗透到日常生活、科学研究和军事技术等领域。人们在日常生活中,有很多时候要精确地计算时间,但往往因为人为因素造成人们不愿意看到的误差。秒表是日常生活中比较常用的精确计时工具,特别是在体育竞技以及生产科研中,跳动精确的秒表更是有着不可替代的作用。过去机械秒表的设计制作极为复杂,而且成本高、不稳定给使用者带来了不少的困扰。但是随着电子技术的飞速发展,电子秒表的出现彻底改变了这一局面,电子秒表以其成本低廉、工作稳定、走时精确、操作简单在人类的工作生活中得到了广泛的应用。秒表的出现,解决了传统的由于人为因素造成的误差和不公平性。本设课程设计是主要采用sopc技术基于FPGA的数字秒表的设计,利用Verilog HDL语言和Quartus II 软件以及FPGA实验操作平台来实现的。本论文的重点是用硬件语言Verilog HDL 来描述数字秒表,偏重于软件设计。大致内容是首先简单介绍了EDA的现状和前景, Verilog HDL 语言特点,应用平台FPGA,之后阐述了数字秒表的设计思想和大体的设计流程,最后进入本设计的核心设计部分,用Verilog HDL 语言设计数字秒表电路,着重对各个模块进行了详细的分析和说明。 【关键词】Verilog HDL 语言;Quartus II 软件;数字秒表;SOPC技术二、课题简介 SOPC技术是美国Altrea公司于2000年最早提出的,并同时推出了相应的开发软件Quartus II。SOPC是基于FPGA解决方案的SOC,与ASIC的SOC解决方案相比,SOPC系统及其开发技术具有更多的特色,构成SOPC的方案有多种途径,我们主要用到的是:基于FPGA嵌入IP硬核的SOPC系统 2.3基于FPGA嵌入IP硬核的SOPC系统 即在FPGA中预先植入嵌入式系统处理器。目前最为常用的嵌入式系统大多采用了含有ARM的32位知识产权处理器核的器件。尽管由这些器件构成的嵌入式系统有很强的功能,但为了使系统更为灵活完备,功能更为强大,对更多任务的完成具有更好的适应性,通常必须为此处理器配置许多接口器件才能构成一个完整的应用系统。如除配置常规的SRAM、DRAM、Flash外,还必须配置网络通信接口、串行通信接口、USB接口、VGA接口、PS/2接口或其他专用接口等。这样

FPGA课程设计

河南机电高等专科学校 《可编辑逻程器件原理与应用课程设计》 题目:数字跑表 班级: 学号: 姓名: 2012年6月8日

数字跑表设计 一、设计题目 设计一个以0.01s为基准计时信号的实用数字式跑表 二、设计要求 1)跑表计时显示范围0.01s—59min59.99s,计时精度为10ms。 2)具有清零、启动计时、暂停计时功能,操作按键(开关)不超过2个。 3)时钟源误差不超过0.01s。 三、总体设计思路 数字秒表设计采用模块化思想,自顶向下设计。总体上含有分频模块、计时控制器模块、计数模块、LED显示模块四个基本模块。各模块功能如下:(1)分频模块 分频器通过对256Hz时钟分频产生100Hz时钟,它同COUNT10中的十进制计数器要求的时钟频率一致。 (2)计时控制器模块 计时控制器模块的作用是将按键信号转变为计时器的控制信号。本设计中设置了2个按键,即启动/暂停键和清零键,由它们产生的计数允许保持和清零信号。 (3)计时模块 计时器通过对10ms脉冲的计数,达到计时的目的。由于数字跑表的计时范围为0到59分59.99秒,所以计时模块COUNT共需四个十进制计数器和两个六进制计时器。 (4)LED显示模块 用于数字跑表的最后显示 四、设计步骤如下: (1)分频模块 由于试验箱没有100Hz的时钟源,所以应设计分频模块分频。将输出256Hz 的时钟频率经过分频得到100Hz的时钟源,作为百分之一秒位的时钟输入, 每产生一个时钟上升沿,计数器加1即为10ms。新建Text Editor,以VHDL语言设计分频模块,程序源码如下: library ieee; use ieee.std_logic_1164.all; entity DIV is Port (clr:in std_logic; clk:in std_logic; clkout:out std_logic); end DIV; architecture a of DIV is begin process (clk,clr)

课程设计(论文)封面、扉页

《物流方案规划设计》 课程设计 题目: 学生姓名: 学号: 班级: 专业: 指导教师: 2015年7月

课程设计成绩评定

目录 1 概述………………………………………………………………………… 1.1项目概况……………………………………………………………….. 1.2物流方案的目的和意义………………………………………………………….. 2 物流方案的环境分析……………………………………………………… 2.1公司基本情况介绍(或者物流市场需求分析) 2.2需要解决的问题分析…………………………………………………….. 2.3公司的竞争优势分析…………………………………………………….. 3 物流方案规划…………………………………………………………………… 4.1仓储方案……………………………………………………………….. 4.2运输方案………………………………………………………….. 4.3物流信息化方案……………………………………………….. 4 物流方案的实施…………………………………………………………………… 4.1组织结构调整……………………………………………….. 4.2服务质量保证体系…………………………………………….. 参考文献……………………………………………………………….. 正文字体宋体小四,行距1.5倍 图表表示 表1-1

页码从正文开始标注,封面,扉页及目录都不要标注页码 (页眉页脚不出现在封面扉页的页面) 出师表 两汉:诸葛亮 先帝创业未半而中道崩殂,今天下三分,益州疲弊,此诚危急存亡之秋也。然侍卫之臣不懈于内,忠志之士忘身于外者,盖追先帝之殊遇,欲报之于陛下也。诚宜开张圣听,以光先帝遗德,恢弘志士之气,不宜妄自菲薄,引喻失义,以塞忠谏之路也。 宫中府中,俱为一体;陟罚臧否,不宜异同。若有作奸犯科及为忠善者,宜付有司论其刑赏,以昭陛下平明之理;不宜偏私,使内外异法也。 侍中、侍郎郭攸之、费祎、董允等,此皆良实,志虑忠纯,是以先帝简拔以遗陛下:愚以为宫中之事,事无大小,悉以咨之,然后施行,必能裨补阙漏,有所广益。 将军向宠,性行淑均,晓畅军事,试用于昔日,先帝称之曰“能”,是以众议举宠为督:愚以为营中之事,悉以咨之,必能使行阵和睦,优劣得所。 亲贤臣,远小人,此先汉所以兴隆也;亲小人,远贤臣,此后汉所以倾颓也。先帝在时,每与臣论此事,未尝不叹息痛恨于桓、灵也。侍中、尚书、长史、参军,此悉贞良死节之臣,愿陛下亲之、信之,则汉室之隆,可计日而待也。 臣本布衣,躬耕于南阳,苟全性命于乱世,不求闻达于诸侯。先帝不以臣卑鄙,猥自枉屈,三顾臣于草庐之中,咨臣以当世之事,由是感激,遂许先帝以驱驰。后值倾覆,受任于败军之际,奉命于危难之间,尔来二十有一年矣。

cad课程设计

2013-2014学年第二学期 电子CAD课程设计报告——基于51单片机的4通道模拟数据采集器 班级: 姓名: 学号: 成绩: 指导教师:秦玉龙

目录 第1章altium designer 9.0设计环境认识 (3) 1.1 altium designer 9.0软件的介绍 (3) 1.2设计环境设置 (3) 1.2.1 创建工程与原理图文件 (3) 1.2.2 使用库 (4) 1.2.3 创建原理图库文件 (5) 1.2.4 创建PCB库文件 (6) 1.2.5 创建PCB文件 (7) 第2章 altium designer 电路原理图的绘制 (8) 2.1 电路原理图的设计步骤 (8) 2.2 原理图库的设计步骤 (8) 2.3 封装库的设计 (8) 第3章印刷电路板的绘制 (11) 3.1 印刷电路板的设计过程 (11) 3.2 印刷电路板的布局布线方法 (12) 3.2.1 布局 (12) 3.2.2 布线 (12) 总结与个人心得 (14) 附录1:电路原理图 (15) 附录2:原件清单及封装表 (16) 附录3:印刷版电路效果图 (16) 附录4: 3D版印刷版效果图 (16)

第1章altium designer 9.0设计环境认识 1.1 altium designer 9.0软件的介绍 (1)电路设计自动化 EDA(Electronic Design Automation)指的就是将电路设计中各种工作交由计算机来协助完成。如电路原理图(Schematic)的绘制、印刷电路板(PCB)文件的制作、执行电路仿真(Simulation)等设计工作。随着电子科技的蓬勃发展,新型元器件层出不穷,电子线路变得越来越复杂,电路的设计工作已经无法单纯依靠手工来完成,电子线路计算机辅助设计已经成为必然趋势,越来越多的设计人员使用快捷、高效的CAD设计软件来进行辅助电路原理图、印制电路板图的设计,打印各种报表。 Altium Designer 是原Protel软件开发商Altium公司推出的一体化的电子产品开发系统,主要运行在Windows XP操作系统。这套软件通过把原理图设计、电路仿真、PCB绘制编辑、拓扑逻辑自动布线、信号完整性分析和设计输出等技术的完美融合,为设计者提供了全新的设计解决方案,使设计者可以轻松进行设计,熟练使用这一软件必将使电路设计的质量和效率大大提高。 Altium Designer 除了全面继承包括Protel 99SE、Protel DXP在内的先前一系列版本的功能和优点外,还增加了许多改进和很多高端功能。该平台拓宽了板级设计的传统界面,全面集成了FPGA设计功能和SOPC设计实现功能,从而允许工程设计人员能将系统设计中的FPGA与PCB设计及嵌入式设计集成在一起。由于Altium Designer 在继承先前Protel软件功能的基础上,综合了FPGA设计和嵌入式系统软件设计功能,Altium Designer 对计算机的系统需求比先前的版本要高一些。 (2)主要功能:原理图设计、印刷电路板设计、FPGA的开发、嵌入式开发等等 1.2 设计环境设置 1.2.1 创建工程与原理图文件 通过菜单创建PCB工程 File - New - Project - PCB Project,即可在当前工作区创建新的PCB 工程

相关主题
文本预览
相关文档 最新文档