当前位置:文档之家› EDA实验指导书--新2008(新)印刷

EDA实验指导书--新2008(新)印刷

EDA实验指导书--新2008(新)印刷
EDA实验指导书--新2008(新)印刷

淮阴工学院EDA技术实验指导书

编者:李慧

电子信息工程系

2008年7月5日

目录

实验教学系统介绍 (1)

实验一字符显示电路 (9)

实验二触发器 (12)

实验三 BCD码加法器 (15)

实验四多人表决器 (18)

实验五频率计 (20)

实验六计数器 (22)

实验七乘法器 (23)

实验八数字钟 (25)

实验九熟悉PROTEL99环境 (26)

实验十原理图设计 (29)

实验十一元件制作与网络表操作 (31)

实验十二印刷电路板设计 (34)

实验教学系统介绍

一、硬件系统:

(一)PC机:要求586或以上的IBM PC微机或兼容机,内存需64MB以上,硬盘需1G以上(二)ZYE1502D型实验箱

1、芯片下载板:

Altera公司: EPM7128SLC84—15下载板(数字部分)

Altera公司: EPF10K10LC84-4下载板(数字部分)

Lattice公司:ispLSI1032E-70LJ下载板(数字部分)

Lattice公司:ispPAC10-01PI下载板(模拟部分)

Lattice公司:ispPAC20-01JI下载板(模拟部分)

Lattice公司:ispPAC80-01PI下载板(模拟部分)

Xilinx公司: XC95108-15PC84C下载板(数字部分)

注:本实验箱数字模块部分以Altera公司的EPF10K10LC84-4下载板为标准配置,本实验指导书的实验均以该下载板为基础书写。

2、数码管显示:动态显示8位(M1-M8),静态显示4位(M5-M8);

3、发光二极管输出:16位;

4、输入位数(开关):16位;

5、输入位数(按键):16位;

6、时钟信号:由50MHz、12MHz、4.194304MHz晶振输出;

7、喇叭一个;

8、配有RS232接口;

9、配有VGA接口;

10、配有PS/2键盘接口;

11、16×16点阵;

12、配有并行A/D转换器ADC0809;并行D/A转换器DAC0832;

13、配有存贮器62256;

14、配有单片机(AT89C51)

15、配有一片管理芯片EPM7128;

16、含有一块通用下载模块;

17、含有8038低频信号源输出模块;

二、配套软件:

Max Plus II10.2版

1、运行环境 Win95/98或NT4.0 6、全仿真/功能仿真支持

2、层次化设计支持 7、逻辑综合支持

3、原理图输入支持 8、硬件编程/下载支持

4、文本输入支持 9、原理图设计宏库基本库

5、AHDL、VHDL输入支持 10、支持芯片 CPLD/FPGA系列

如果要进行VHDL、Verilog HDL语言设计需要到当地的Xilinx代理商处索要一个

合法的license.dat文件。

三、实验系统概述:

CPLD/FPGA芯片及其设计技术,由于其体积小、容量大、I/O口丰富、可靠性高、功耗小、开发方便、价格低、风险小、周期短、节省物力,且芯片编程容易(在线可编程)等优

点而被数字电路设计界广泛采用,现已成为设计界最流行的设计芯片之一。本实验系统针对数字电路的设计及CPLD/FPGA技术有一总体上的概念。对于能力较高的同学,本系统极其丰富的功能单元和组成,搭接的灵活性,使他们能够做出超出大纲要求的具有复杂性和创造性的综合实验。同时该系统也是从事教学及科研的广大教师和电子工程师的理想开发工具。希望您能从中得到裨益,并提出宝贵的改进意见。

四、CPLD设计过程框图:

五、操作指南:

1、开关、按键及指示灯KD1~KD16、K1~K16、KL1~KL16:

主板下方有16个按键K1~K16;16个拨位开关KD1~KD16;16个发光二极管KL1~KL16。每一个纵列的一组开关、按键、发光二极管与下载板上CPLD/FPGA的一个I/O口对应相连。

当与I/O口相对应的开关KDi作为输入使用时,开关拨向上,开关上方的发光二极管亮,表示开关向该I/O口输入一个逻辑量为“1”的高电平,拨向下时,表示“0”。

当需要用按键向I/O口输入一个短脉冲量时,首先需将开关拨向下方,按下键Ki后,发光二极管KLi亮,表示该按键Ki向对应I/O口输入了一个正脉冲。

注:将拨码开关AS1的(3)、(4)档拨向上(ON)时,对应的开关按键的输入有效;

将拨码开关AS1的(3)、(4)档拨向下(OFF)时,对应的开关按键的输入无效。

2、发光二极管LED1~LED16及交通灯模块:

在下载板数码管的下方有16个发光二极管LED1~LED16,它们分别与下载板上的16

个I/O口相连。另外交通灯的L1~L12和发光二极管LED1~LED12共用一个I/O口,因此在选择LED输出显示有效时,要使交通灯模块输出无效,此时LJ1的跳线接2,3脚。当要做交通灯实验时要将LED输出显示设置为无效,并且LJ1的跳线接1,2脚。

注:将拨码开关AS1的(2)档拨向上(ON)时,对应的LED有效;将拨码开关AS1的(2)档拨向下(OFF)时,对应的LED无效。

3、静态显示数码管SM5~SM8:

主板的正上方配有8只数码管其中SM5-SM8为静态显示,即每只数码管通过管理芯片EPM7128内部的译码器间接与下载板四个I/O口相连。这四个I/O口输出BCD码。

4、动态显示数码管SM1~SM8:

为增加数码管显示位数,使用了动态扫描电路方式,将静态显示中的数码管SM7、SM8对应的8个I/O口用于动态显示数码管的8个段,a、b、c、d、e、f、g、dp(小数点),将静态显示的数码管M5、M6对应的8个I/O口用于连接动态显示数码管的共阴端作位控扫描,如图1。

图1 动态扫描显示数码管连接图

注:将拨码开关AS1的(1)档拨向上(ON)时,数码管动态显示;将拨码开关AS1的(1)档拨向下(OFF)时,数码管静态显示。在不使用数码管时,一律将AS1的(1)拨向上。

5、时钟信号:

主板上配有非常丰富的时钟信号,为实验提供了极大的方便。在主板的左侧共有两组“时钟信号”。

(1)GCK1:第一组信号源为TP1~TP3,与下载板的GCK1相连通,频率有从低频到高频的全部24个信号源。

(2) GCK2:第二组信号源为TP4~TP6,与下载板的GCK2相连通,频率有从低频到高频的全部24个信号源。

注意:信号源的具体频率值可以参看主板上的丝印标识。

6、扬声器:

主板上配有喇叭一个,位于主板的中央,与下载板上的I/O50端相连。当向喇叭输入一个200Hz~2KHz的方波时,喇叭根据不同频率发出音响。

7、A/D转换器ADC0809:

主板配有并行A/D模数转换器ADC0809,可完成数据采集等实验课题。ADC0809为学习

并行A/D模数转换器提供了实践环境。当使用ADC0809时,需将拨码开关AS1的(6)档、JS1的(6)(7)档拨向上,模拟输入信号可通过AIN0端口送入ADC0809的信号输入端,也可通过电位器JW1获得模拟量信号。当不使用ADC0809时需将AS1的(6)档、JS1的(6)(7)档拨向下,并且JS1的(5)档拨向上。

8、D/A转换器DAC0832:

主板上配有D/A数模转换器DAC0832。可完成波形发生器等实验课题。DAC0832为学习并行D/A数模转换器提供了良好的实践环境。当使用DAC0832时,需将拨码开关JS1的(1)(5)(8)档拨向上;当不使用DAC0832时需将拨码开关JS1的(1)(5)(8)档拨向下。9、RS-232串行接口

主板上有一个RS-232串行接口电路MAX232转换部分,该电路把下载板上的CPLD/FPGA 的TTL电平转换成RS-232电平,并且通过主板上RS232插座与其它设备通讯接口相连。10、VGA接口

主板上配有VGA接口,可用于做彩条信号发生器、方格信号发生器以及图像显示的高难度实验,VGA与下载板的连接关系如图2所示。VGA接口的1、2、3号引脚分别为红、绿、蓝三色信号端。13、14号引脚为场扫和行扫信号端。

图2 下载板与VGA接口连接关系图

11、PS/2接口

主板上配有PS/2鼠标键盘接口,可用于做接收键盘数据的高难度实验,PS/2与下

载板的连接关系如图3所示。

图3 下载板与PS/2接口连接关系图

12、单片机(89C51)与FPGA/CPLD的连接

当单片机与FPGA/CPLD相连进行数据传送时,需将拨码开关AS1的(5)档向上拨

动(ON),不用时将AS1的(5)档向下拨动(OFF)。单片机的P0口与FPGA/CPLD的I/O40~

I/O47相连;单片机的P1口与FPGA/CPLD的I/O32~I/O39相连;单片机的P2口与FPGA/CPLD的I/O16~I/O23相连。

13、RAM(62256)与FPGA/CPLD的连接

当RAM62256有效时,需将拨码开关AS1的(7)档向上拨动(ON),不用时将AS1

的(7)档向下拨动(OFF)。

14、管理芯片(F7128)

为增加该实验开发系统功能,本实验箱增加了一片管理芯片EPM7128SLC84,它代替了实验板上的部分硬件电路,并控制部分通信口的通信和功能转换,所以在使用该设备时,严禁将该芯片拔下或改动芯片内部的程序,防止使整个实验系统无法正常工作。

15、通用下载模块

该模块为CPLD/FPGA器件的通用下载电路模块,可以对ALTERA、LATTICE、XILINX的公司绝大多数不同芯核电压的CPLD/FPGA器件进行在系统编程和配置。

仅适用于5V工作电压的FPGA和CPLD;对于低电压的FPGA/CPLD的下载和配

置必须连接另一个接口座ByteblasterMV。

16、点阵模块

在本实验箱中还提供了16×16点阵模块,其工作原理参考实验十七。

17、AS1、JS1的作用及模式的使用

在主板上有一个功能切换部分,AS1、JS1为拨码开关,主板把相关的输入输出器件分成若干组。是否与下载板的对应I/O口相连靠连接AS1、JS1的拨码而定。由于下载板上的CPLD/FPGA的I/O口大部分是分时复用,为避免相互干扰,靠AS1、JS1拨码切换。

注1:当WR1信号连接到I/O51上时,需将按键开关K17上KJ1的跳线取下;

当要使用开关K17时,则连上KJ1处的跳线。

注2:具体的外围硬件与CPLD/FPGA的I/O口连接请参看本书附录和原理图集。

六、注意事项

1、实验系统各种硬件设备的安装均应在微机和实验平台断电的情况下进行,严

禁带电操作。

2、电源的打开顺序是:先打开交流开关,再打开直流开关,最后打开各个模块

的控制开关。电源关掉的顺序刚好与此相反。

3、实验箱电路板上所有的芯片出厂时都经过严格检查,因此在做实验时切忌随

意拔插芯片。

4、安装下载板时注意双排插针与双排插槽要一一对好后再插,不要强行拔插,

以免无法进行实验,甚至损坏器件。

5、在拔连接计算机并口的下载电缆线时,要拔插壳,不要直接去拉电缆线,否

则会使连接在插壳内的线断掉,造成文件无法下载。

6、在使用连接线做模拟可编程模块实验时,连接线应该旋转的轻轻插上和旋转

的轻轻拔下,以提高连接线的使用寿命。

7、实验板使用和保存必须仔细谨慎,防止实验板非正常损坏。

8、如果在实验中由于操作不当或其它原因出现异常情况,如数码管显示不稳,

闪烁,芯片发烫等。首先应立即断电,然后报告老师,排除故障后方可继续使用。切记无视现象,继续实验,以免造成严重的后果。

9、如果在对控制芯片进行下载时,需将适配板取下,然后插上下载线(DB25并

口排线一头插在电脑的打印机接口处,另一头插在通用下载接口上;双头10芯排线一头插在ByteBlaster下载接口上,另一头插在控制芯片下载接口上),调用正确的下载程序后方能下载。平时做实验时不要对其编程下载,以免下载了错误的程序导致实验箱无法使用。

实验一字符显示电路

一、实验目的

1、实现十六进制计数显示。

2、实现常见英文字母显示。

二、实验设备

1、微机一台

2、ZYE1502D型实验开发系统一套

三、实验内容

1、编写一个简单的0-F轮换显示的十六进制计数电路。(将AS1全部设置为“OFF”,将计数频率设置为1HZ(TJ1处,数码管显示的数值每隔一秒变化一次,数码管SM5-SM8同时循环显示0-F。)

具体实验代码如下:(采用静态显示方式)

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity jsxs is

port(clk,ck: in std_logic;

q: buffer std_logic_vector(3 downto 0);

sm5,sm6,sm7,sm8: out std_logic_vector(3 downto 0));

end;

architecture art of jsxs is

signal q_s: std_logic_vector(3 downto 0);

begin

process(ck) is

begin

if (ck'event and ck='1') then

sm5<=q_s; sm6<=q_s; sm7<=q_s; sm8<=q_s;

end if;

end process;

process(clk) is

begin

if (clk'event and clk='1') then

if q=15 then q<="0000";

else q<=q+1;

end if;

end if;

q_s<=q;

end process;

end;

将以上程序输入实验平台,经过编译之后,新建一个*.scf文件,设置好仿真时间,然后进行系统仿真,接下来要在实验箱上进行验证,首先选择合适的下载芯片,此处选择device

family 为FLEX10K的芯片,具体的引脚设定如下:

将ByteBlaster电缆的一端与计算机的并行口相连,另一端10针阴头与实验板的插座相连。选择菜单命令Options/Hardware Setup,出现设置编程硬件对话框。在Hardware Type 栏的下拉条中选择Byte Blaster;单击Configure按钮,即开始配置器件,直到配置完成。

2、编写一个显示英文字母A、B、C、D、E、F、H、P、L的轮换显示电路。(将AS1(1)设置为“ON”,将计数频率设置为1HZ(TJ1处,数码管显示的数值每隔一秒变化一次,扫描频率设置为32.768KHZ(TJ5处);数码管SM5-SM8同时循环显示A、B、C、D、E、F、H、P、L)。

具体实验代码如下:(采用动态显示方式)

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all; --“+”操作符重载

entity zmjsxs is

port(clk,ck: in std_logic;

sel: out std_logic_vector(3 downto 0); --位显示

q: buffer std_logic_vector(3 downto 0);

sm: out std_logic_vector(6 downto 0)); --段显示

end entity zmjsxs;

architecture art of zmjsxs is

signal q_s: std_logic_vector(3 downto 0);

signal tmp: integer range 0 to 3;

begin

process(ck) is

begin

if ck'event and ck='1' then

if tmp=1 then sel<="0010";

elsif tmp=2 then sel<="0100";

elsif tmp=3 then sel<="1000";

else sel<="0001";

end if;

tmp<=tmp+1;

end if;

end process;

process(clk) is

begin

if (clk'event and clk='1') then

if q=8 then q<="0000";

else

q<=q+1;

end if;

end if;

q_s<=q;

end process;

process(q_s) is

begin

case q_s is

when "0000"=> sm<="1110111";

when "0001"=> sm<="0011111";

when "0010"=> sm<="1001110";

when "0011"=> sm<="0111101";

when "0100"=> sm<="1001111";

when "0101"=> sm<="1000111";

when "0110"=> sm<="0110111";

when "0111"=> sm<="1100111";

when "1000"=> sm<="0001110";

when others=> sm<="0000000";

end case;

end process;

end architecture art;

将以上程序输入实验平台,经过编译之后,新建一个*.scf文件,设置好仿真时间,然后进行系统仿真,接下来要在实验箱上进行验证,首先选择合适的下载芯片,此处选择device family 为FLEX10K的芯片,具体的引脚设定如下:

将ByteBlaster电缆的一端与计算机的并行口相连,另一端10针阴头与实验板的插座相连。选择菜单命令Options/Hardware Setup,出现设置编程硬件对话框。在Hardware Type栏的下拉条中选择Byte Blaster;单击Configure按钮,即开始配置器件,直到配置完成。

实验二触发器

一、实验目的

1、掌握基本RS触发器、D触发器、JK触发器的逻辑功能。

2、掌握时序电路的设计方法。

3、熟悉触发器之间相互转换的方法。

二、实验设备

1、微机一台

2、ZYE1502D型实验开发系统一套

三、实验内容

1、通过模拟、仿真分析,验证三种触发器的逻辑功能以及触发方式。

2、设计RS触发器(输入高电平有效)、D触发器、JK触发器。(将拨码开关AS1(2)(3)设置“ON”)

其中,三种触发器的功能表分别如下:

RS触发器:

D触发器:

JK触发器:

具体实验代码如下:

RS触发器:(CLK为触发器的触发时钟,该例为同步RS触发器)

library ieee;

use ieee.std_logic_1164.all;

entity rs_ff is

port(clk,r,s: in std_logic;

q,nq: out std_logic);

end;

architecture bh of rs_ff is

signal q_s,nq_s: std_logic;

signal rs: std_logic_vector(1 downto 0);

begin

rs<= r & s;

process(clk) is

begin

if clk'event and clk='1' then

case rs is

when "01"=>q_s<='0'; nq_s<='1';

when "10"=>q_s<='1'; nq_s<='0';

when "11"=>q_s<=q_s; nq_s<=nq_s;

when others=> null;

end case;

end if;

q<=q_s; nq<=nq_s;

end process;

end;

将以上程序输入实验平台,经过编译之后,新建一个*.scf文件,设置好仿真时间,然后进行系统仿真,接下来要在实验箱上进行验证,首先选择合适的下载芯片,此处选择device family 为FLEX10K的芯片,具体的引脚设定如下:

将ByteBlaster电缆的一端与计算机的并行口相连,另一端10针阴头与实验板的插座相连。选择菜单命令Options/Hardware Setup,出现设置编程硬件对话框。在Hardware Type栏的下拉条中选择Byte Blaster;单击Configure按钮,即开始配置器件,直到配置完成。

D触发器:

library ieee;

use ieee.std_logic_1164.all;

entity dff1 is

port(clk: in std_logic;

d: in std_logic;

nq,q: out std_logic);

end;

architecture bhv of dff1 is

signal q1: std_logic;

begin

process(clk) is

begin

if clk'event and clk='1' then

q1<=d;

end if;

q<=q1;

nq<=not(q1);

end process;

end;

将以上程序输入实验平台,经过编译之后,新建一个*.scf文件,设置好仿真时间,然后进行系统仿真,选择合适的下载芯片,此处选择device family 为FLEX10K的芯片,具体的引脚设定如下:

将ByteBlaster电缆的一端与计算机的并行口相连,另一端10针阴头与实验板的插座相连。选择菜单命令Options/Hardware Setup,出现设置编程硬件对话框。在Hardware Type 栏的下拉条中选择Byte Blaster;单击Configure按钮,即开始配置器件,直到配置完成。

JK触发器:

(CLRN为异步清零信号,PRN为异步置位信号)

这部分由同学们根据以上示例自己设计程序代码,然后将程序输入实验平台,经过编译之后,新建一个*.scf文件,设置好仿真时间,然后进行系统仿真,接下来要在实验箱上进行验证,首先选择合适的下载芯片,此处选择device family 为FLEX10K的芯片,具体的引脚设定如下:

将ByteBlaster电缆的一端与计算机的并行口相连,另一端10针阴头与实验板的插座相连。选择菜单命令Options/Hardware Setup,出现设置编程硬件对话框。在Hardware Type栏的下拉条中选择Byte Blaster;单击Configure按钮,即开始配置器件,直到配置完成。

2、扩展任务:设计T触发器,并研究其相互转化的方法。

实验三 BCD码加法器

一、实验目的

1、掌握1位BCD码加法器设计。

2、掌握模块化设计的方法实现2位BCD码加法器设计

3、能够识别非法输入

二、实验设备

1、微机一台

2、ZYE1502D型实验开发系统一套

三、实验内容

1、1位BCD码加法器设计;

要求:首先能够对输入的二进制数据进行合法性识别,如果非法给出错误提示,如果合法,进行带低位进位输入和高位进位输出1位BCD加法器设计。

具体实验代码如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity bcd_add_4 is

port(a,b:in std_logic_vector(3 downto 0);

s: out std_logic_vector(3 downto 0);

err: out std_logic;

c_in: in std_logic;

c_out: out std_logic);

end;

architecture bh of bcd_add_4 is

signal a_tmp,b_tmp,s_tmp,s_tmp_xin: std_logic_vector(4 downto 0);

begin

process(a,b,c_in) is

begin

if a>9 or b>9 then err<='1'; s<="1111";c_out<='1';

else err<='0';

a_tmp<='0'&a;

b_tmp<='0'&b;

s_tmp<=a_tmp+b_tmp+c_in;

if s_tmp>9 then s_tmp_xin<=s_tmp+6;

else s_tmp_xin<=s_tmp;

end if;

c_out<=s_tmp_xin(4);

s<=s_tmp_xin(3 downto 0);

end if;

end process;

end;

将以上程序输入实验平台,经过编译之后,新建一个*.scf文件,设置好仿真时间,然后进行系统仿真。

2、基于以上的设计,使用元件例化的方法进行2位BCD的设计,设计具体要求同上类似。

具体实验代码如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity bcd_add_new is

port(a: in std_logic_vector(7 downto 0);

b: in std_logic_vector(7 downto 0);

c_out: out std_logic;

s: out std_logic_vector(7 downto 0);

err: out std_logic);

end;

architecture bh of bcd_add_new is

component bcd_add_4 is

port(a,b:in std_logic_vector(3 downto 0);

s: out std_logic_vector(3 downto 0);

err: out std_logic;

c_in: in std_logic;

c_out: out std_logic);

end component bcd_add_4;

signal err_1,err_2: std_logic;

signal c_out_tmp,c_in_tmp: std_logic;

begin

c_in_tmp<='0';

u1: bcd_add_4 port map(a(3 downto 0),b(3 downto 0),s(3 downto 0),err_1,c_in_tmp,c_out_tmp); u2: bcd_add_4 port map(a(7 downto 4),b(7 downto 4),s(7 downto 4),err_2,c_out_tmp,c_out);

err<=err_1 or err_2;

end;

将以上程序输入实验平台,经过编译之后,新建一个*.scf文件,设置好仿真时间,然后进行系统仿真,选择合适的下载芯片,此处选择device family 为FLEX10K的芯片,具体的引脚设定如下:

将ByteBlaster电缆的一端与计算机的并行口相连,另一端10针阴头与实验板的插座相连。选择菜单命令Options/Hardware Setup,出现设置编程硬件对话框。在Hardware Type栏的下拉条中选择Byte Blaster;单击Configure按钮,即开始配置器件,直到配置完成。

实验四多人表决器

一、实验目的

1、进一步了解VHDL语言。

2、学会用行为描述方式来设计电路。

二、实验设备

1、微机一台

2、ZYE1502D型实验开发系统一套

三、实验内容

用VHDL语言设计7人表决器电路,当通过的人数大于等于4时,该项决议通过,点亮灯来表示,同时用数码管显示通过的人头数。

具体实验代码如下:

library ieee;

use ieee.std_logic_1164.all;

entity qrbjq is

port( a: in std_logic_vector(6 downto 0);

ck: in std_logic;

num: buffer integer range 0 to 7;

sm: out std_logic_vector(6 downto 0);

duan: out std_logic_vector(2 downto 0);

co: out std_logic);

end;

architecture art of qrbjq is

signal temp: integer range 1 to 3;

begin

process(a) is

variable b: integer range 0 to 7;

begin

b:=0;

for n in 0 to 6 loop

if a(n)='1' then b:=b+1;

end if;

end loop;

num<=b;

if num>=4 then co<='1';

else co<='0';

end if;

end process;

process(ck) is

begin

if (ck'event and ck='1') then

if temp=1 then duan<="010";

elsif temp=2 then duan<="100";

elsif temp=3 then duan<="001";

end if;

end if;

temp<=temp+1;

end process;

process(num) is

begin

case num is

when 0=> sm<="1111110";

when 1=> sm<="0110000";

when 2=> sm<="1101101";

when 3=> sm<="1111001";

when 4=> sm<="0110011";

when 5=> sm<="1011011";

when 6=> sm<="1011111";

when 7=> sm<="1110000";

when others=> sm<="0000000";

end case;

end process;

end;

将以上程序输入实验平台,经过编译之后,新建一个*.scf文件,设置好仿真时间,然后进行系统仿真,选择合适的下载芯片,此处选择device family 为FLEX10K的芯片,具体的引脚设定如下:

将ByteBlaster电缆的一端与计算机的并行口相连,另一端10针阴头与实验板的插座相连。选择菜单命令Options/Hardware Setup,出现设置编程硬件对话框。在Hardware Type栏的下拉条中选择Byte Blaster;单击Configure按钮,即开始配置器件,直到配置完成。

实验五频率计

一、实验目的

1、进一步了解VHDL语言。

2、学会用行为描述方式来设计电路。

二、实验设备

1、微机一台

2、ZYE1502D型实验开发系统一套

三、实验内容

用VHDL语言设计8位十进制数字频率计,其测频范围为1HZ到1.5MHZ,将时钟信号设置为1HZ,由第2路时钟源TJ4-TJ6输入一方波信号,用数码管显示其频率值(频率高时略有误差)。

将自己设计好的程序输入实验平台,经过编译之后,新建一个*.scf文件,设置好仿真时间,然后进行系统仿真,选择合适的下载芯片,此处选择device family 为FLEX10K的芯片,设定好引脚,将ByteBlaster电缆的一端与计算机的并行口相连,另一端10针阴头与实验板的插座相连。选择菜单命令Options/Hardware Setup,出现设置编程硬件对话框。在Hardware Type栏的下拉条中选择Byte Blaster;单击Configure按钮,即开始配置器件,直到配置完成。

四、实验原理

本实验程序由3个模块组成:测频信号发生器TESTCTL,8个具有时钟使能的十进制加法计数器CNT10,和一个32位锁存器REG32B。

具体模块图如下:

测频控制信号发生器的设计要求:

频率测量的基本原理是计算每秒钟内待测信号的脉冲个数。这就要求TESTCTL的计数使能信号TSTEN能产生一个1秒脉宽的周期信号,并对频率计的每一计数器CNT10的ENA 使能端进行同步控制。当TSTEN高电平时,允许计数;低电平时,停止计数,并保持其所计的数。在停止计数期间,首先需要一个锁存信号LOAD的上跳沿将计数器在前1秒钟的计数值锁存进32位锁存器REG32B中,并由外部的7段译码器译出并稳定显示。锁存信号之后,必须有一清零信号CLR_CNT对计数器进行清零,为下1秒钟的计数操作作准备。测频控制信号发生器的工作时序如图6.6所示。为了产生这个时序图,需首先建立一个由D触发器构成的二分频器,在每次时钟CLK上沿到来时其值翻转。

《EDA》实验指导书2013-6-1

辽东学院自编教材 《可编程逻辑器件原理及应用实验》指导书 李海成编 (计算机科学与技术、电子信息工程专业用) 姓名: 学号: 班级: 信息技术学院 2013年6月

目录 目录 (1) 实验一MAX+PLUS-II设计三八译码器......... 错误!未定义书签。实验二半加器 . (2) 实验三带进位输入的8位加法器 (4) 实验四数据比较器 (6) 实验五编码器 (9) 实验六组合逻辑电路的设计 (12) 实验七计数器 (14) 实验八触发器功能的模拟实现 (17)

(被加数)Ai (被加数)Bi (半加和)Hi (本位进位)Ci 实验二 半加器 实验类型: 验证性 实验课时: 2 指导教师: 李海成 时 间:201 年 月 日 课 次:第 节 教学周次:第 周 实验分室: 实验台号: 实 验 员: 一、 实验目的 1.设计并实验一个一位半加器 2.掌握CPLD/FPGA 组合逻辑设计基本方法。 二、 实验原理 计算机中数的操作都是以二进制进位的,最基本的运算就是加法运算。按照进位是否加入,加法器分为半加器和全加器电路两种。计算机中的异或指令的功能就是求两个操作数各位的半加和。一位半加器有两个输入、输出,如图2-1。 图2-1 一位半加器示意图 表2-1 一个半加大路的真值表如表2-1所示,根据真值表可得到半加器的函数表达式: Bi Ai Bi Ai Hi ?+?= Bi Ai Ci ?= 三、 实验连线 半加器的两个输入所对应的管脚同两位拨码开关相连,两个输入管脚名为a 、b ;两个输出所对应的管脚同两位发光二极管相连,两个输出管脚名为 c0和s,其中c0表示进位, s 表示相加结果。 四、

EDA实验指导书

实验一上机学习电路原理图的绘制(2) 一、设计目的 1. 掌握PROTEL软件的安装、运行及卸载,掌握Protel 99 SE的基本操作; 2. 掌握设计管理器的使用和设计环境的设置,熟悉常用元件库和各主要菜单及命令的使用; 3.学习电路原理图的基本绘图方法 二、设计内容 1.设置原理图的环境参数,添加相应的元件库文件 2.绘制课本P92页的一个D/A功能模块电路图,其中由一片12位的D/A、两片运放、一些电阻和电容组成 图1-1 实验1电路原理图实例 三、设计设备和仪器 1.计算机 1 台(CPU要求Pentium 166MHz以上,推荐内存应为16MB以上,显示器分辨率为800×600(或1024×768)模式。) 2.Protel 99SE 软件 四、设计方法 根据电路图加载相应的元件库文件,然后选择放置电子元件,编辑各元件并精确调整元件位置。对放置好的元件根据例图连接导线,绘制总线和总线出入端口,放置网络标号及电源和输入输出端口。最后放置注释文字。 五、实验步骤 (1)新建名为自己学号姓名的设计数据库 点击“NEW新建”新建数据库文件 在上图所示的选项栏里设置名为自己姓名学号的数据库文件 (2)建立名为自己姓名的原理图文件

点击上图所示图标建立名为自己姓名的原理图文件(3)进入原理图设计环境,修改文件名并修改图纸大小为A4 点击下图中“Options”选项设置图纸大小 (4)加载常用元件库 (5)从元件库中选出需用元件放在原理图设计工作面上 (6)利用绘图工具对所有元器件进行连线 最终原理图如图所示。 六、设计报告 1.明确实验目的和实验要求; 2.写出详细的实验内容和步骤; 3.写出实验中遇到的问题及改正的方法 七、注意事项 熟悉绘图工具的功能和用法是绘制好电路原理图的关键。

EDA实验指导书

实验一 MAX+PLUSII软件的使用 [实验目的] 掌握MAX+PLUSII软件的使用。 [实验内容] 学习MAX+PLUSII软件的设计操作步骤。 [实验原理] MAX+PLUSII软件介绍。 MAX+PLUSII软件功能简介: 1 原理图输入(Graphic Editor) MAX+PLUSII软件具有图形输入能力,用户可以方便的使用图形编辑器输入电路图,图中的元器件可以调用元件库中元器件,除调用库中的元件以外,还可以调用该软件中的符号功能形成的功能块。 2 硬件描述语言输入(Text Editor) MAX+PLUSII软件中有一个集成的文本编辑器,该编辑器支持VHDL,AHDL和Verilog硬件描述语言的输入,同时还有一个语言模板使输入程序语言更加方便,该软件可以对这些程序语言进行编译并形成可以下载配置数据。 3 波形编辑器(waveform Editor) 在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(*.SCF文件),使用该编辑器的工具条可以容易方便的生成波形和编辑波形。 4 编译与仿真 当设计文件被编译好,并在波形编辑器中将输入波形编辑完毕后,就可以进行行为仿真了,通过仿真可以检验设计的逻辑关系是否准确。 5 器件编程 当设计全部完成后,就可以将形成的目标文件下载到芯片中,实际验证设计的准确性。[实验步骤] 设计过程如下: 1)输入项目文件名(File/Project/Name) 2)输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式) (Max+plusⅡ/graphic Editor, Max+plusⅡ/Text Editor, Max+plusⅡ/Waveform Editor) 3)指定CPLD型号(Assign/Device) 4)设置管脚、下载方式和逻辑综合的方式 (Assign/Global Project Device Option,Assign/Global Logic Synthesis) 5)保存并检查源文件(File/project/Save & Check) 6)指定管脚(Max+plusⅡ/Floorplan Editor) 7)保存和编译源文件(File/project/Save & Compile) 8)生成波形文件(Max+plusⅡ/Waveform Editor) 9)仿真(Max+plusⅡ/Simulator) 10)下载配置(Max+plusⅡ/Programmer) [实验报告要求] 不做要求。 实验二简单组合逻辑电路设计 [实验目的] 1 通过本实验提供的实例,掌握组合逻辑电路的设计方法。

09EDA实验指导书

EDA实验指导书

目录 实验一基于QUARTUSII图形输入电路的设计 (2) 实验二含异步清零和同步使能的加法计数器 (5) 实验三图形和VHDL混合输入的电路设计 (7) 实验四矩阵键盘接口电路的设计 (10) 实验五交通灯控制电路实验 (16) 附图EP1K10TC100管脚图 (24) 主芯片:ACEX 1K 系列的EP1K10TC100-3 下载电缆:Byte Blaster II

实验一基于QUARTUSII图形输入电路的设计 一、实验目的 1、通过一个简单的3线—8线译码器的设计,掌握组合逻辑电路的设计方法。 2、初步了解QUARTUSII原理图输入设计的全过程。 3、掌握组合逻辑电路的静态测试方法。 二、实验原理 3线-8线译码器三输入,八输出。当输入信号按二进制方式的表示值为N时,输出端标号为N的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。其真值表如表1-1所示 输入输出 D2 D1 D0 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 0 0 1 1 0 0 1 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 表1-1 3线-8线译码器真值表 译码器不需要像编码器那样用一个输出端指示输出是否有效。但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使 能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表 示无任何信号。本例设计中没有考虑使能输入端,自己设计时可以考虑加入使 能输入端时,程序如何设计。 三、实验内容 在本实验中,用三个拨动开关来表示3线-8线译码器的三个输入(D2-D0);用

EDA实验指导书

ED心验指导书齐鲁理工学院

目录 实验一Protel DXP 2004认识实验 0 实验二两级阻容耦合三极管放大电路原理图设计 0 实验三原理图元件库建立与调用 (2) 实验四两级阻容耦合三极管放大电路PCB图设计............................ .4实验五集成电路的逻辑功能测试.. (6) 实验六组合逻辑电路分析与设计............................................... 1.1实验七Quartus II的使用 ................................................. 1.6实验八组合逻辑器件设计. (16) 实验九组合电路设计 (24)

实验一Protel DXP 2004 认识实验 一、实验目的 1. 掌握Protel DXP 2004的安装、启动和关闭。 2. 了解Protel DXP 2004主窗口的组成和各部分的作用。 3. 掌握Protel DXP 2004工程和文件的新建、保存、打开。 二、实验内容与步骤 1、Protel_DXP_2004 的安装 (1) 用虚拟光驱软件打开Protel_DXP_2004.iso 文件 (2) 运行setup\Setup.exe 文件,安装Protel DXP 2004 (3) 运行破解程序后,点击导入模版”,先导入一个ini文件模版(如果要生成单机版的License选择Unified Nexar-Protel License.ini;要生成网络版的License选择Unified Nexar-Protel Network License.ini ),然后修改里面的参数:TransactorName=Your Name (将"Your Name替换为你想要注册的用户名);SerialNumber=0000000 (如果你只有一台计算 机,那么这个可以不用修改,如果有两台以上的计算机且连成局域网,那么请保证每个License文件中的SerialNumber=为不同的值。修改完成后点击生成协议文件",任意输入一 个文件名(文件后缀为.alf)保存,程序会在相应目录中生成1个License文件。点击替换密钥”,选取DXP.exe (在DXP 2004安装目录里,默认路径为),程序会自动替换文件中的公开密钥。将前面生成的License文件拷贝至DXP 2004安装目录里(默认路径为)授权完成。 (4) 打开Protel 在左上角DXP 菜单下的Preference 菜单项里,选中Use localize resources后关闭Protel_DXP_2004 ,重新打开软件变为简体中文版本。 2、Protel_DXP_2004 的卸载 卸载Protel_DXP_2004的具体步骤如下: (1) 在Windows的“开始”菜单中选择“设置/控制面板”,然后在控制面板中选择“添加/删除程序”选项,将弹出对话框。从中选择DXP 2004应用软件。 (2) 单击删除”按钮,将弹出对话框,询问用户是否真的要删除程序。 (3) 单击“是”按钮,开始卸载。在卸载过程中,若想终止卸载,可单击“取消”按

EDA实验指导书new_Quartus2

EDA技术实验手册及程序代码 物理与信息项目学院 学号:111000228 姓名:汪艺彬 注意事项 1、本实验手册是为了配合《EDA技术实用教程》,作为本课程实验环节的补充 指导而编制。 2、实验中涉及的QuartusⅡ软件的使用请参考 《EDA技术实用教程》中有关章节。 手册中所有的虚线空白框,都留出来作为实验记录之用,每个实验完成后,应按照实验内容的要求将实验结果记入框中。 4、每个实验后面都附有一道思考题,完成实验内容后可以作为更进一步的练习 。 5、每次实验后将手册相关部分<完成实验结果记录)和实验源代码<.vhd文件) 一起,作为实验报告上交。 6、课程结束后请将所有报告按顺序加封面装订好上交,作为实验部分成绩计入 总成绩。 实验一利用原理图输入法设计4位全加器一、实验目的: 熟悉如何在QuartusⅡ集成环境下利用原理图输入设计简单组合逻辑电路,掌握层次化的电路设计方法。 二、实验原理: 一个4位全加器可以由4个一位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的进位输入信号cin相接。 三、实验内容: 1.QuartusII软件的熟悉

熟悉QuartusⅡ环境下原理图的设计方法和流程,可参考课本5.4节的内容,重点掌握层次化的设计方法。 2.设计1位全加器原理图 设计的原理图如下所示 3.利用层次化原理图方法设计4位全加器 <1)生成新的空白原理图,作为4位全加器设计输入 <2)利用已经生成的1位全加器作为电路单元,设计4位全加器的原理图,如下所示 4、设计一个超前进位4位全加器 以上设计的全加器是基于串行进位的结构,高位的进位输入必须等待低位的运算结果,造成较长的延时。通过对进位位进行超前运算,可以缩短这部分的延时。 在已有1位全加器的基础上设计一个具有超前进位结构的4位全加器,原理图如下所示 5、完成设计流程

EDA实验箱实验指导书

实验二流水灯 1.实验目的 通过本实验让学生进一步了解、熟悉和掌握CPLD/FPGA开发软件的使用方法及VHDL 语言的编程方法;学习简单的时序电路的设计和硬件测试。 2.实验内容 本实验的内容是控制实验箱上的发光二极管LED1—LED8,使之实现流水灯显示。3.实验原理 在LED1~LED8引脚上周期性地输出流水数据,即输出的数据依次为11111111、11111110、11111100、11111000、11110000、11100000、11000000、10000000、00000000,如此循环显示,输出数据“0”,表示点亮相应的LED小灯。为了方便观察,流水的速率控制在2Hz左右。在核心板上有一个48MHz的标准时钟源,该时钟源与芯片EP2C5的23脚相连。为了产生2Hz的时钟源,在此调用了分频模块int_div。 4.实验步骤 (1)启动Quartus II,建立一个空白工程,然后命名为led_waterflow.qpf。 (2)新建ledwater.vhd源程序文件,源代码如下。然后进行综合编译。若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。生产符号文件ledwater.bsf (File→ Create/_Update → Create Symbol Files for Current File)。 流水灯程序参考 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_Arith.ALL; USE IEEE.STD_LOGIC_Unsigned.ALL; ENTITY ledwater IS PORT( clk: IN STD_LOGIC; led: OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );END; ARCHITECTURE one OF ledwater IS SIGNAL led_r:STD_LOGIC_VECTOR(8 DOWNTO 0); BEGIN led<=led_r(7 DOWNTO 0); PROCESS(clk) BEGIN IF clk’event and clk=’1’ THEN led_r<=led_r(7 DOWNTO 0) & '0'; IF led_r="000000000" THEN --循环完毕吗? led_r<="111111111"; --是,则重新赋初值 END IF; END IF; END PROCESS; END; (3)将实验模块库里的int_div.vhd和int_div.bsf拷贝到工程目录下。

EDA实验指导书1

EDA实验指导书 天津大学仁爱学院 2011年9月30日

目录 1.实验一LED实验 (验证性实验) 2.实验二LED点阵实验 (综合性实验) 3.实验三LCD显示实验 (设计性实验)

实验一:LED实验 一、实验目的 1.熟悉ISE8.2开发环境,掌握工程的生成方法; 2.熟悉SEED-XDTK_V4实验环境; 二、实验内容 1.创建工程; 2.添加HDL资源文件; 3.配置一个应用程序完成设计。 三、实验准备 1.通过USB口下载电缆将计算机的USB口及SEED-FEM025板的J9连接好; 2.启动计算机,打开SEED-XDTK_V4实验箱电源开关。观察SEED-FEM025板上的+ 5V(D11)的电源指示灯是否均亮。若有不亮的,请断开电源,检查电源。 四、实验步骤 1.创建工程 1)双击桌面Xilinx ISE8.2快捷方式打开ISE工程管理器(Project Navigator); 2)打开Project Navigator后,选择File→New Project,弹出新建工程对话框; 3)在工程路径中单击“…”按钮,将工程指定到如下目录D:\02.V4_lab,单击确定; 4)在工程名称中输入led,点击Next按钮,如图1.1所示; 图1.1 5)弹出器件特性对话框。器件族类型(Device Family)选择“Virtex4”,器件型号(Device) 选“XC4VSX25FF668-10”,综合工具(Synthesis Tool)选“XST(VHDL/Verilog)”,仿真器(Simulator)选“ISE Simulator”,如图1.2;

EDA实验指导书全(Verilog版)

EDA实验指导书 熊利祥编 武汉理工大学华夏学院

2011年9月

前言 一、实验课目的 EDA实验课是电子工程类专业教学中重要的实践环节,包括了ISE开发环境基本操作及Verilog语言、组合逻辑电路设计、流水灯设计、计数器设计、扫描显示电路的驱动、综合层次性实验——交通灯或数字秒表设计实验。要求学生通过实验学会正确使用EDA技术,掌握FPGA器件的开发,熟练使用ISE开发环境,掌握Verilog 语言的编程,掌握数字电路和系统的设计。 通过实验,使学生加深对课堂专业教学内容的理解,培养学生理论联系实际的能力,实事求是,严谨的科学作风,使学生通过实验结果,利用所学的理论去分析研究EDA技术。培养学生使用EDA实验设备的能力以及运用实验方法解决实际问题的能力。 二、实验要求: 1.课前预习 ①认真阅读实验指导书,了解实验内容; ②认真阅读有关实验的理论知识; ③读懂程序代码。 2.实验过程 ①按时到达实验室; ②认真听取老师对实验内容及实验要求的讲解; ③认真进行实验的每一步,观察程序代码与仿真结果是否相符; ④将实验过程中程序代码和仿真结果提交给老师审查; ⑤做完实验后,整理实验设备,关闭实验开发板电源、电脑电源后方可离开。 3.实验报告 ①按要求认真填写实验报告书; ②认真分析实验结果; ③按时将实验报告交给老师批阅。

三、实验学生守则 1.保持室内整洁,不准随地吐痰、不准乱丢杂物、不准大声喧哗、不准吸烟、不准吃东西; 2.爱护公务,不得在实验桌及墙壁上书写刻画,不得擅自删除电脑里面的文件; 3.安全用电,严禁触及任何带电体的裸露部分,严禁带电接线和拆线; 4.任何规章或不按老师要求操作造成仪器设备损坏须论价赔偿。

EDA实验指导书_新2014(新)印刷

淮阴工学院EDA技术实验指导书 编者:叶小婷 电子与电气工程学院 2014年6月7日

目录 实验一基于QUARTUSII 图形输入电路的设计 (1) 实验二基于VHDL 格雷码编码器的设计 (16) 实验三含异步清零和同步使能的加法计数器 (18) 实验四八位七段数码管动态显示电路的设计 (20) 实验五数控分频器的设计 (22) 实验六图形和VHDL 混合输入的电路设计 (23) 实验七四位并行乘法器的设计 (26) 实验八基本触发器的设计 (28) 实验九四位全加器设计 (30) 实验十矩阵键盘显示电路的设计 (32) 实验十一用VHDL 设计七人表决器 (35) 实验十二用VHDL 设计四人抢答器 (37) 实验九熟悉PROTEL99环境 (39) 实验十原理图设计 (42) 实验十一元件制作与网络表操作 (44) 实验十二印刷电路板设计 (47) 附录一实验箱常用管脚分配表 (49) 附录二参考程序 (51)

实验一基于QUARTUSII 图形输入电路的设计 一、实验目的 1.通过一个简单的3—8译码器的设计,掌握组合逻辑电路的设计方法。 2.初步了解QUARTUSII 原理图输入设计的全过程。 3.掌握组合逻辑电路的静态测试方法。 二、实验设备 1.PC机一台; 2.Altera Blaster下载器一根; 3.THGSC-3型实验箱一台。 三、实验原理 3-8译码器三输入,八输出。当输入信号按二进制方式的表示值为N时,输出端标号为N 的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。 译码器不需要像编码器那样用一个输出端指示输出是否有效。但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表示无任何信号。本例设计中没有考虑使能输入端,自己设计时可以考虑加入使能输入端时,程序如何设计。 四、实验容 在本实验中,用三个拨动开关(SW1~SW3)来表示三八译码器的三个输入(A、B、C);用八个LED 来表示三八译码器的八个输出(D1~D8)。通过输入不同的值来观察输入的结果与三八译码器的真值表是否一致。实验箱中的拨动开关,当开关闭合(拨动开关的档位在下方)时其输出为低电平,反之输出高电平。实验箱中的拨动开关与FPGA 的接口电路,LED 灯与FPGA 的接口电路以及拨动开关、LED 与FPGA 的管脚连接在用户手册中都做了详细说明,这里不再赘述。 五、实验步骤 下面将通过这个实验,向读者介绍QUARTUSII 的项目文件的生成、编译、管脚分配以及时序仿真等的操作过程。 1.建立工程文件 1)选择“开始>程序>Altera>QuartusII 9.0”,运行QUARTUSII 软件。或者双击桌面上的QUARTUSII 的图标运行QUARTUSII 软件,出现如图1-1 所示,如果是第一次打开QUARTUSII 软件可能会有其它的提示信息,使用者可以根据实际情况进行设定后进入图1-1 所示界面。 2)选择软件中的,新建一个工程。如图1-2所示。 3)点击图1-2 中的Next 进入工作目录,工程名的设定对话框如图1-3 所示。第一个输入框为工程目录输入框,用户可以输入如e:/eda 等工作路径来设定工程的目录,设定好后,所有的生成文件将放入这个工作目录。第二个输入框为工程名称输入框,第三个输入框为顶层实体名称输入框。用户可以设定如exp1,一般情况下工程名称与实体名称相同。使用者也可以根据自已的实际情况来设定。

FPGA设计实验指导书(2013)

《FPGA设计》实验指导书

安全操作注意事项 1、接插下载电缆前,请务必关闭实验箱开关,避免损坏下载电缆或实验箱器件。 2、操作过程中应防止静电。 3、保持实验箱和电路板的表面清洁。 4、小心轻放,避免不必要的硬件损伤或者人身受伤。 实验箱简介

实验一简单组合逻辑设计 一、实验目的和任务 1、熟习Quartus II软件的使用; 2、掌握用原理图输入法和硬件描述语言(Verilog HDL)两种方法来设计逻 辑电路; 3、通过电路的仿真及验证,进一步了解4选1数据选择器的功能; 二、实验内容 1、用原理图输入法来设计4选1数据选择器 参照按图1-1所示来编辑完成4选1数据选择器的原理图输入,其中a、b、c、d 为数据输入端,sel[1]、sel[0]为控制输入端,q为4选1数据输出端。存盘仿真后,观察仿真波形,以验证数据选择器的功能。 图1-1 4选1数据选择器原理图 2、用Verilog HDL硬件描述语言来设计4选1数据选择器 用QuartusII中的文本编辑器,编辑输入4选1数据选择器源程序:module m41( a, b, c, d, sel, q); input a,b,c,d; input [1:0]sel; output q; reg q; always @( sel) case(sel) 2’b00: q=a; 2’b01: q=b;

2’b11: q=d; endcase endmodule 程序中的a 、b 、c 、d 依然为数据输入端,sel[1]、sel[0]为控制输入端,q 为4选1数据输出端。同样存盘后进行仿真,并观察仿真波形,以验证数据选择器的功能。 三、实验仪器、设备及材料 电脑、EDA 软件、实验箱、下载电缆。 四、实验原理 4选1数据选择器的原理框图及真值表如图1-2及表1-1所示,sel[1:0]可能出现四种组合情况: 00 01 10 11,它分别对应选通四个不同的数据输入a 、b 、c 、d ,从q 端输出。结合以前所学数字电路的知识,可由真值表得出利用“与非门”实现的逻辑电路,进而可用QuartusII 原理图输入方法,设计出该4选1数据选择器;如应用EDA 技术所学的Verilog HDL 硬件描述语言来描述该电路功能,即可设计出该4选1数据选择器的源程序。 图1-2 4选1数据选择器的原理框图 q Sel[1]输出 选择输入 0a 01b 00 c 11 d 1 Sel[0]表1-1 真值表 五、重点、难点 d a b c

EDA技术与VHDL实验指导书

EDA技术与HDL 实验指导书 吉林大学珠海学院 二零一一年制定

目录 实验一:实验环境和平台的建立 (1) 实验二:组合逻辑电路设计 (12) 实验三:多层次设计 (14) 实验四:时序逻辑电路设计(一) (18) 实验五:时序逻辑电路设计(二) (20) 实验六:分频器的设计 (22) 实验七:通用移位寄存器的设计 (23) 实验八:数码管扫描显示的设计 (24) 实验九:正弦信号发生器的设计 (26) 实验十:序列检测器的设计 (36)

实验一:实验环境和平台的建立 一、实验目的: 熟悉Quartus II的VHDL文本设计流程,学习8-3编码器的设计、仿真。二、实验内容: 用VHDL编写8-3编码器的VHDL代码并仿真。 三、实验环境 PC 机(Pentium100 以上)、Altera Quartus II 6.0 CPLD/FPGA 集成开环境。 四、实验原理 在数字系统中,常常需要将某一信息(输入)变换为某一特定的代码(输出)。把二进制码按一定的规律排列,例如8421码、格雷码等,使每组代码具有一特定的含义(代表某个数字或是控制信号)称为编码。具有编码功能的逻辑电路称为编码器。编码器有若干个输入,在某一时刻只有一个输入被转换为二进制码。例如8线-3线编码器和10线-4线编码器分别有8输入、3位输出和10位输入、4位输出。8线-3线编码器的真值表见表1-1,管脚图如图1-1所示。 输入输出 A7 A6 A5 A4 A3 A2 A1 A0 Y2 Y1 Y0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 0 1 0 1 0 0 0 0 0 0 1 1 0 1 0 0 0 0 0 0 0 1 1 1 表1-1 8-3编码器真值表 图1-1 8-3编码器管脚图 五、实验步骤

最新EDA实验指导书汇总

E D A实验指导书

实验一 MAX+PLUSII软件的使用 [实验目的] 掌握MAX+PLUSII软件的使用。 [实验内容] 学习MAX+PLUSII软件的设计操作步骤。 [实验原理] MAX+PLUSII软件介绍。 MAX+PLUSII软件功能简介: 1 原理图输入(Graphic Editor) MAX+PLUSII软件具有图形输入能力,用户可以方便的使用图形编辑器输入 电路图,图中的元器件可以调用元件库中元器件,除调用库中的元件以外,还可以调用该软件中的符号功能形成的功能块。 2 硬件描述语言输入(Text Editor) MAX+PLUSII软件中有一个集成的文本编辑器,该编辑器支持VHDL,AHDL和Verilog硬件描述语言的输入,同时还有一个语言模板使输入程序语言更加方便,该软件可以对这些程序语言进行编译并形成可以下载配置数据。 3 波形编辑器(waveform Editor) 在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(*.SCF文件),使用该编辑器 的工具条可以容易方便的生成波形和编辑波形。 4 编译与仿真 当设计文件被编译好,并在波形编辑器中将输入波形编辑完毕后,就可以进行行为仿真了,通过仿真可以检验设计的逻辑关系是否准确。 5 器件编程

当设计全部完成后,就可以将形成的目标文件下载到芯片中,实际验证设计的准确性。 [实验步骤] 设计过程如下: 1)输入项目文件名(File/Project/Name) 2)输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式) (Max+plusⅡ/graphic Editor, Max+plusⅡ/Text Editor, Max+plusⅡ /Waveform Editor) 3)指定CPLD型号(Assign/Device) 4)设置管脚、下载方式和逻辑综合的方式 (Assign/Global Project Device Option,Assign/Global Logic Synthesis) 5)保存并检查源文件(File/project/Save & Check) 6)指定管脚(Max+plusⅡ/Floorplan Editor) 7)保存和编译源文件(File/project/Save & Compile) 8)生成波形文件(Max+plusⅡ/Waveform Editor) 9)仿真(Max+plusⅡ/Simulator) 10)下载配置(Max+plusⅡ/Programmer) [实验报告要求] 不做要求。 实验二简单组合逻辑电路设计 [实验目的] 1 通过本实验提供的实例,掌握组合逻辑电路的设计方法。 2 初步了解PLD设计的全过程和相关软件的使用。

EDA实验指导书

实验一组合逻辑电路设计 一、实验目的 1、通过一个简单的4选1的设计,让学生掌握QUARTUSII设计工具进行电子设计的基本流程。 2、初步了解可编程器件设计的全过程。 二、主要仪器设备 EDA实验系统一台,PC一台 三、实验步骤 1、建立工程文件 1)选择开始>程序>Altera>QuartusII13.1,运行QUARTUSII软件。或者双击桌面上 的QUARTUSII的图标运行QUARTUSII软件,出现如图1-3所示,如果是第一次打开QUARTUSII软件可能会有其它的提示信息,使用者可以根据自己的实际情况进行设定后进入图1-1所示界面。 图1-1 QUARTUSII软件运行界面 2)选择软件中的菜单File>New Project Wizard,新建一个工程。如图1-2所示。 3)点击图1-2中的NEXT进入工作目录,工程名的设定对话框如图1-3所示。第一个输入框为工程目录输入框,用户可以输入如e:/altera/work等工作路径来设定工程的目录,设定好后,所有的生成文件将放入这个工作目录。第二个输入框为工程名称输入框,第三个输入框为顶层实体名称输入框。用户可以设定如MUX41a,一般情况下工程名称与实体名称相同。使用者也可以根据自已的实际情况来设定。

图1-2 新建工程对话框 图1-3 指定工程名称及工作目录 4)点击NEXT,进入下一个设定对话框,按默认选项直接点击NEXT进行器件选择对话框。如图1-4所示。这里我们以选用Cyclone系列芯片EP5CSEMA5F31为例进行 介绍。用户可以根据使用的不同芯片来进行设定,其方法基本一致。

图1-4 器件选择界面 首先在对话框的左上方的Family下拉菜单中选取Cyclone V(E/GX/GT/SX/SE/ST),在中间右边的Speed grade下拉菜单中选取6,在左下方的Available devices框中选取EP5CSEMA5F31C6,点击NEXT完成器件的选取,进入EDA TOOL设定界面如图1-5 所示。 图1-5 EDA TOOL对话框 5)按默认选项,点击NEXT出现新建工程以前所有的设定信息,如图1-6所示,点 击FINISH完成新建工程的建立。

EDA技术实验指导书

《EDA技术》实验指导书 面向专业:通信工程 信息工程 自动化 电子信息工程 电气工程及其自动化 信息与通信工程学院 2016年9月

前言 一、课程性质 本课程是电子信息工程、通信工程、信息工程和自动化专业必修的专业实验课程。通过本课程的教学,使学生掌握EDA技术的开发流程,学会利用以硬件描述语言为描述工具,以可编程逻辑器件为实现载体,在数字系统设计领域熟练应用EDA技术,使其具备研究和开发现代数字系统的能力。 二、专业安排 本系统分为多个模块,适合通信工程、信息工程、自动化、电子信息工程、电气工程及其自动化等专业使用。 三、本书特点 本实验指导书的特点是引入工程项目机制来管理实验项目,着重培养学生的方案设计、算法分析和现场调试能力,为培养卓越工程师打下坚实的基础。

目录 前言............................................................................................................................. I 第一章实验系统.. (1) 1.1 系统整体结构 (1) 1.2 核心板 (1) 1.3 基础扩展模块 (2) 1.4 自动控制模块 (3) 1.5 信号处理模块 (3) 1.6 通信接口模块 (4) 第二章开发平台简介 (5) 2.1 Quartus II简介 (5) 2.2 Quartus II开发流程 (5) 第三章实验项目 (9) 实验1 平台应用及全加器设计 (9) 实验2 信号发生器设计 (11) 实验3 数字电压表设计 (13) 实验4 数字频率计设计 (16) 实验5 交通灯控制器设计 (19)

EDA实验指导书

目录 实验一Protel DXP 2004认识实验 0 实验二两级阻容耦合三极管放大电路原理图设计 0 实验三原理图元件库建立与调用 (2) 实验四两级阻容耦合三极管放大电路PCB图设计 (4) 实验五集成电路的逻辑功能测试 (6) 实验六组合逻辑电路分析与设计 (11) 实验七Quartus II 的使用 (16) 实验八组合逻辑器件设计 (16) 实验九组合电路设计 (24)

实验一 Protel DXP 2004 认识实验 一、实验目的 1.掌握Prot e l DXP 2004 的安装、启动和关闭。 2.了解Protel DXP 2004 主窗口的组成和各部分的作用。 3.掌握Prot e l DXP 2004 工程和文件的新建、保存、打开。 二、实验内容与步骤 1、Protel_DXP_2004 的安装 (1)用虚拟光驱软件打开Protel_DXP_2004.iso 文件 (2)运行setup\Setup.exe 文件,安装Protel DXP 2004 (3) 运行破解程序后,点击“导入模版”,先导入一个ini文件模版(如果要生成单机版的License选择Unified Nexar-Protel License.ini;要生成网络版的License选择Unified Nexar-Protel Network License.ini),然后修改里面的参数:TransactorName=Your Name(将“Your Name”替换为你想要注册的用户名);SerialNumber=0000000(如果你只有一台计算机,那么这个可以不用修改,如果有两台以上的计算机且连成局域网,那么请保证每个License文件中的SerialNumber=为不同的值。修改完成后点击“生成协议文件”,任意输入一个文件名(文件后缀为.alf)保存,程序会在相应目录中生成1个License文件。点击“替换密钥”,选取DXP.exe(在DXP 2004安装目录里,默认路径为C:\Program Files\Altium2004\),程序会自动替换文件中的公开密钥。将前面生成的License文件拷贝至DXP 2004安装目录里(默认路径为C:\Program Files\Altium2004\)授权完成。 (4)打开Protel 在左上角DXP 菜单下的Preference 菜单项里,选中Use localize resources 后关闭Protel_DXP_2004,重新打开软件变为简体中文版本。 2、Protel_DXP_2004 的卸载 卸载Protel_DXP_2004 的具体步骤如下: (1)在Windows 的“开始”菜单中选择“设置/控制面板”,然后在控制面板中选择“添加/删除程序”选项,将弹出对话框。从中选择DXP 2004 应用软件。 (2)单击删除”按钮,将弹出对话框,询问用户是否真的要删除程序。 (3)单击“是”按钮,开始卸载。在卸载过程中,若想终止卸载,可单击“取消”按钮。

EDA实验指导书(vhdl)

实验一 半加器和全加器的设计 一、 实验目的 1、掌握图形的设计方式; 2、掌握自建元件及调用自建元件的方法; 3、熟练掌握MAXPLUS II 的使用。 二、实验内容 1、熟练软件基本操作,完成半加器和全加器的设计; 2、正确设置仿真激励信号,全面检测设计逻辑; 3、综合下载,进行硬件电路测试。 三、实验原理 1、半加器的设计 半加器只考虑了两个加数本身,没有考虑由低位来的进位。 半加器逻辑表达式:B A B A B A S ⊕=+=;AB C = 2.全加器的设计 全加器除考虑两个加数外,还考虑了低位的进位。

0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 全加器逻辑表达式: 1-⊕⊕=i i i i C B A S ;AB C B A C i i i i +⊕=-1)( 3、利用半加器元件完成全加器的设计 (1)图形方式 其中HADDER 为半加器元件。 四、实验步骤 1、完成图形半加器设计。 2、完成VHDL 半加器设计与仿真(记录仿真波形)。 3、完成VHDL 全加器设计与仿真(记录仿真波形)。 4、利用半加器元件进行图形的全加器设计。 五、思考题: 1、怎样自建元件?自建元件的调用要注意什么?

实验二二位加法计数器的设计 一、实验目的 1、掌握二位加法计数器的原理; 2、掌握二位加法计数器的VHDL描述。 3、深入理解VHDL中元件例化的意义。 二、实验内容 1、完成带进位功能二位加法计数器的VHDL设计; 2、正确设置仿真激励信号,全面检测设计逻辑; 3、综合下载,进行硬件电路测试。 三、实验原理 1、二位加法计数器中使用了矢量类型的数据,用来表示计数的数值。 2、元件的例化就是元件的调用,是层次化设计的基础。 具体设计程序由学生自己完成。 四、实验步骤 1、了解二位加法计数器的工作原理。 2、用VHDL文本方式设计二位加法计数器。 3、进行二位加法计数器的设计仿真(记录仿真波形)。 4、进行二位加法计数器的设计下载与测试。 五、思考题 1、怎样设计“减法”计数器? 2、进位信号的设置应注意什么?

EDA实验指导书2015分析

实验一 半加器的设计 一、 实验目的 1、掌握简单组合电路的设计; 2、掌握CASE 语句的应用方法; 3、掌握真值表到VHDL 的综合; 4、熟练掌握MAXPLUS II 的使用。 二、实验内容 1、熟练软件基本操作,完成半加器的设计; 2、正确设置仿真激励信号,全面检测设计逻辑; 三、实验原理 1、半加器的设计 半加器只考虑了两个加数本身,没有考虑由低位来的进位。 半加器逻辑表达式:B A B A B A S ⊕=+=;AB C = 2、利用CASE 语句进行半加器的设计 3、将生成的半加器生成元件 四、实验步骤 1、完成半加器设计。 2、完成VHDL 半加器设计与仿真(记录仿真波形)。 3、生成半加器元件。 五、思考题: 1、怎样自建元件?自建元件的调用要注意什么?

实验二 全加器的设计 二、 实验目的 1、掌握图形的设计方式; 2、掌握自建元件及调用自建元件的方法; 3、熟练掌握MAXPLUS II 的使用。 二、实验内容 1、熟练软件基本操作,完成全加器的设计; 2、正确设置仿真激励信号,全面检测设计逻辑; 三、实验原理 1、全加器的设计 全加器除考虑两个加数外,还考虑了低位的进位。 全加器逻辑表达式: 1-⊕⊕=i i i i C B A S ;AB C B A C i i i i +⊕=-1)( 2、利用半加器元件完成全加器的设计 图形方式(其中HADDER 为半加器元件)

四、实验步骤 1、完成图形全加器设计。 2、完成VHDL全加器设计与仿真(记录仿真波形)。 3、利用半加器元件进行图形的全加器设计。 五、思考题: 1、怎样自建元件?自建元件的调用要注意什么?

EDA实验指导书新新印刷

EDA实验指导书新 新印刷

淮阴工学院 EDA技术实验指导书 编者: 叶小婷 电子与电气工程学院 6月7日

目录 实验一基于QUARTUSII 图形输入电路的设计 (1) 实验二基于VHDL 格雷码编码器的设计 (16) 实验三含异步清零和同步使能的加法计数器 (18) 实验四八位七段数码管动态显示电路的设计 (20) 实验五数控分频器的设计 (22) 实验六图形和VHDL 混合输入的电路设计 (23) 实验七四位并行乘法器的设计 (26) 实验八基本触发器的设计 (28) 实验九四位全加器设计 (30) 实验十矩阵键盘显示电路的设计 (32) 实验十一用VHDL 设计七人表决器 (35) 实验十二用VHDL 设计四人抢答器 (37) 实验九熟悉PROTEL99环境 (39) 实验十原理图设计 (42) 实验十一元件制作与网络表操作 (44) 实验十二印刷电路板设计 (47) 附录一实验箱常见管脚分配表 (49) 附录二参考程序 (51)

实验一基于QUARTUSII 图形输入电路的设计 一、实验目的 1.经过一个简单的3—8译码器的设计, 掌握组合逻辑电路的设计方法。 2.初步了解QUARTUSII 原理图输入设计的全过程。 3.掌握组合逻辑电路的静态测试方法。 二、实验设备 1.PC机一台; 2.Altera Blaster下载器一根; 3.THGSC-3型实验箱一台。 三、实验原理 3-8译码器三输入, 八输出。当输入信号按二进制方式的表示值为N时, 输出端标号为N 的输出端输出高电平表示有信号产生, 而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种, 因此输出端在每种组合中仅有一位为高电平的情况下, 能表示所有的输入组合。 译码器不需要像编码器那样用一个输出端指示输出是否有效。但能够在输入中加入一个输出使能端, 用来指示是否将当前的输入进行有效的译码, 当使能端指示输入信号无效或不用对当前信号进行译码时, 输出端全为高电平, 表示无任何信号。本例设计中没有考虑使能输入端, 自己设计时能够考虑加入使能输入端时, 程序如何设计。

相关主题
文本预览
相关文档 最新文档