当前位置:文档之家› 计算机组成原理名词解释

计算机组成原理名词解释

计算机组成原理名词解释
计算机组成原理名词解释

计算机组成原理名词解释

第1章概论

数字计算机:一种能存储程序,能自动、连续地对各种数字化信息进行处理的快速工具。

硬件:指组成计算机系统的设备实体,如CPU、存储器、I/O设备等。

软件:泛指各类程序、文档等。

CPU:即中央处理器,是由运算器和控制器组成的计算机硬件系统的核心部件。

主存储器:位于主机内部,用来存放CPU需要使用的程序和数据的部件。

外存储器:位于主机外部,用来存放大量的需要联机保存、但CPU暂不使用的程序和数据的部件。外部设备:位于主机之外,与主机进行信息交换的输入设备或输出设备。

信息的数字化表示:包含两层含义,即用数字代码表示各种信息、用数字信号(电平、脉冲)表示数字代码。

存储程序工作方式:事先编制程序,事先存储程序,自动、连续地执行程序。

模拟信号:在时间上连续变化的电信号,用信号的某些参数模拟信息。

数字信号:在时间上或空间上断续变化的电信号,依靠彼此离散的多位信号的组合表示信息。

脉冲信号:在时间上离散的电信号,利用脉冲的有、无表示不同的状态。

电平信号:在空间上离散的电信号,利用信号电平的高、低表示不同的状态。

系统软件:为保证计算机系统能够良好运行而设置的基础软件。

应用软件:用户在各自的应用领域中为解决各类问题而编写的软件。

操作系统:负责管理和控制计算机系统的硬件资源、软件资源和运行的核心软件,为用户提供软的开发环境和运行环境。

语言处理程序:将源程序转换为目标程序的一类系统软件,包括各种解释程序、编译程序、汇编程序。物理机:指能够执行机器语言程序的实际计算机。

虚拟机:能通过配置软件,扩充机器功能后所形成的计算机。

总线:一组能为多个部件分时共享的公共的信息传送线路。

数据通路宽度:指数据总线一次能并行传送的数据位数。

数据传输率:指数据总线每秒传送的数据量。

接口:泛指两个部件的交接部分。

通道:能够执行专用的通道指令,用来管理I/O操作的控制部件。

字节:8位二进制代码称为1字节。

字长:一般指参加一次定点运算的操作数的位数。

第2章计算机中的信息表示

位权:在r进位制的数中,每个数位的数码所表示的数值等于该数码乘以一个与它所在数位相关的常数,这个常数称为该位的位权,简称权。

基数:在进位制中,各数位允许选用的数码个数,称为该进位制的基数,等于该进位制各数位所允许的最大数码值加1。

真值:在数的绝对值之前配上正(+,通常可省略)、负(-)符号表示的数称为该数的真值。例如,用十进制数表示的真值159和-132,用二进制数表示的真值为1011、-1011。

机器数:在计算机内部使用的、连同数的符号一起数码化的数称为机器数。

原码:让数码序列的最高位为符号位(0表示正,1表示负),其余部分为数(真值)的绝对值,这个数码序列称为该数的原码表示。

补码:机器数的一种表示方法,如果数为正,则正数的补码与原码形式相同;如果数为负,则负数的补码是将负数原码除符号位不变外,其余各位取反,末位再加1。

定点数:在计算机中,小数点位置固定不变的数叫做定点数。

浮点数:小数点位置不固定,可随需要浮动的数称为浮点数。

规格化浮点数:指浮点数的尾数部分用带符号定点小数表示,R=2时,尾数的绝对值满足1/2≤|M|<1(即小数点后第一位不为零)的浮点数称为规格化浮点数。

ASCII码:美国信息交换标准码的英文全名的简称,与ISO646、GB—1988标准兼容,是128个常用字符的数码化表示,如字符A的ASCII码为1000001B。

机器指令:在计算机领域中,把用0,1代码序列表示的指令称为机器指令,是计算机硬件能直接识别和执行的指令。

指令系统:一台计算机所能执行的全部指令称为该机的指令系统或指令集合。

地址结构:指令的地址结构是指在指令中明确给出的地址。

显地址:在指令代码中明显给出的地址,如在指令中写明操作数的主存单元地址或寄存器号,则这种地址称为显地址。

隐地址:在指令中不明显给出地址码,地址以隐含方式约定,这种隐含约定的地址称为隐地址。

寻址方式:指令中以什么方式提供操作数或操作数地址,称为寻址方式。

立即寻址:由指令直接给出操作数,在取出指令的同时也就取出了可以立即使用的操作数,这种寻址方式称为立即寻址。

直接寻址:由指令直接给出操作数地址,根据该地址可以从主存(或寄存器)中取出操作数,或向主存(或寄存器)写入数据,这种寻址方式称为直接寻址。

寄存器寻址:在指令中给出寄存器号,从该寄存器号所指的寄存器中取出操作数或将数据传送到该寄存器号所指的寄存器中。这种寻址方式实为寄存器直接寻址。

间接寻址:在指令中给出间址单元地址码(即操作数地址的存放单元地址),按照该地址访问主存中该间址单元,从中读取操作数地址,接着按操作数地址再次访问主存,从该单元中读取或

向该单元写入操作数。

寄存器间址:由指令给出寄存器号,在该寄存器号所指定的寄存器中存放着操作数地址,按此地址访问主存,读取或写入操作数。

间址单元:在间接寻址方式中,存放操作数地址的主存编址单元称为间址单元。

变址寻址:在指令中的地址部分给出一个形式地址,并且指定一个寄存器作为变址寄存器,将变址寄存器的内容(称为变址量)与形式地址相加,得到操作数地址(称为有效地址);按有效

地址访问主存,从相应的主存单元中读得操作数或向该单元写入数据。

基址寻址:在指令中给出一个形式地址(作为位移量),并且指定一个寄存器作为基址寄存器(该基址寄存器内容作为基准地址);将基址寄存器内容和形式地址相加,其和作为操作数有效

地址;按有效地址访问主存,从该单元读取操作数或向该单元写入数据。

相对寻址:指用程序计数器PC的内容作为基准地址,指令中给出的形式地址作为位移量的基址寻址方式。

页面寻址:将程序计数器PC的高位段作为操作数有效地址的高位段,指令中给出的形式地址作为操作数有效地址的低位段,将这两部分拼接构成操作数有效地址,这种寻址方式称为页面寻

址方式。

堆栈:一种按“后进先出”(或称“先进后出”)存取顺序进行存取的存储结构。

栈顶:堆栈是一个连续的存储区,其一端固定称为栈底,存放最先压入的数;堆栈的另一端是浮动的,称为栈顶,对堆栈的读写都是对栈顶单元进行的;对堆栈的寻址也就是对栈顶单元的寻址,随着堆栈操作的进行,栈顶位置也发生变化。

堆栈指针:指用于指向栈顶位置的寄存器SP,堆栈指针SP的内容是栈顶单元地址。

CISC:具有具有复杂指令集合的计算机称为CISC(Complex Instruction Set Computer)。

RISC:采用精简指令系统的计算机称为RISC(Reduced Instruction Set Computer)。

算术移位:指对具有数值大小的数,将数码位置左、右移动,使其数值发生变化,但数的符号位不变的一类移位操作。

逻辑移位:指将(二进制)代码序列视为纯逻辑意义上的代码组合,只是将数码位置循环移动或非循环移动,使数码位置发生变化,但没有正负性质,也没有数值大小变化的问题。

第3章CPU子系统

CPU:由运算器和控制器组成的计算机硬件系统的核心部件,即中央处理器。

运算器:在计算机中,用来对数据进行加工处理的部件。传统运算器包含输入逻辑、算术逻辑运算部件(ALU)、输出逻辑和一部分寄存器。

控制器:在计算机中,用来产生各种控制命令(微命令)、控制全机操作的部件。传统控制器包含微命令产生部件、时序系统和一部分寄存器。

通用寄存器:可由CPU编程访问,能实现多种功能的寄存器。例如,可提供操作数,存放运算结果,用作地址指针,作为变址寄存器、基址寄存器、计数器等。

暂存器:为避免破坏通用寄存器的内容,用来暂时存放某些中间结果的寄存器。

指令寄存器IR:用来存放现行指令的寄存器。当需要执行某条指令时,先将该指令从存储器取出,并存入IR中,再对IR的内容进行译码。

程序计数器PC:用来指示指令在存储器中存放位置的寄存器。PC的内容是指令所在存储单元的地址,取指后,PC内容增量计数,指向下一条指令的地址。

程序状态字PSW:用来记录现行程序的运行状态和指示程序工作方式的寄存器。

时序系统:用来产生时序信号(如周期、节拍、脉冲等)的部件,称为时序系统或时序发生器,由一个振荡器和一组计数分频器组成。

微命令:在计算机中,用来控制微操作(如逻辑门的开或关、寄存器的打入或清除等操作)的控制命令,也称为微操作控制信号。

组合逻辑控制:简单地讲,由硬连逻辑电路产生微命令的方式称为组合逻辑控制方式。它的基本思想如下:综合、化简产生微命令的条件,形成相应逻辑式,并用组合逻辑电路实现;执

行指令时,由组合逻辑电路(微命令发生器)在相应时间发出所需微命令,控制有关

操作。

微程序控制:简单地讲,由微指令译码产生微命令的方式称为微程序控制方式。其基本思想如下:将若干微命令编制成一条微指令,控制实现一步操作;将若干微指令组成一段微程序,解

释执行一条机器指令;将微程序事先存放在控制存储器中,执行机器指令时再取出。

数据通路结构:将有关部件连接起来,为这些部件之间的信息传送提供通路的硬件结构。CPU内部或微型机内部的数据通路结构多采用总线结构。

同步控制:用统一发出的时序信号(周期、节拍、脉冲)控制各项操作的方式,称为同步控制方式。

其特点是:有明显时序时间划分,时钟周期时间固定,各步操作的衔接、各部件之间的数

据传送受严格同步定时控制。

异步控制:指各项操作根据实际需要安排不同的时间,不受统一时序信号约束的一种控制方式。其特点是:无固定时钟周期划分,各操作间的衔接和各部件之间的信息交换采用异步应答方式。主设备:申请并掌握总线控制权的设备。

从设备:响应主设备请求,并与之通信的设备。

全加器:含有三个输入量(两个操作数、一个来自低位的进位信号)的二进制加法单元。

并行加法器:用多位全加器实现多位数同时相加的加法器。

进位链:提供进位信号传递通路的硬连逻辑电路。

串行进位:进位信号逐级产生;低位进位向高位传递。

并行进位:各个进位信号同时产生,高位进位不依赖于低位进位。

多重分组跳跃进位:也称为分级同时进位,即将多位全加器分成若干组,组内采用并行进位,组间也采用并行进位。

对阶:让两个浮点数的阶码相等,称为对阶。对阶操作是将小阶增大,尾数右移。

左归:将浮点数的尾数左移,使其成为规格化尾数,称为左归。左归操作是将尾数左移,阶码减小。右归:将浮点数的尾数右移,使其成为规格化尾数,称为右归。右归操作是将尾数右移,阶码增大。指令周期:一条指令从取指到执行完所用的全部时间。

工作周期:一个指令周期中,完成某一阶段操作所需的时间,如取指周期、源周期、目的周期、执行周期等。

时钟周期:CPU执行一步操作所需的时间,时钟周期作为时序基准,在一个计算机中其长度是固定不

变的。

微指令周期:读取并执行一条微指令所用的时间。

总线周期:通过总线传送一次数据所用的时间。在同步方式下,一个总线周期可能包含若干个时钟周期。

主存读/写周期:指主存进行连续读/写所允许的最小时间间隔,即两次读/写操作之间的最小间隔。微指令:将一步操作所需的微命令编写在一串代码中,这串代码称为微指令。微指令由微命令字段和微地址字段组成。

微程序:由若干条微指令组成一段微程序,用来解释执行一条机器指令。

控制存储器:用来存放各微程序段的专用存储器,属于CPU范畴而不属于主存范畴。

不译法:也称为直接控制法,即微命令按位给出,不需要对微命令编码和译码。

分段直接编译法:也称为单重定义或显式编码法,即将微指令划分为若干字段,微命令由字段编码直接给出。

分段间接编译法:也称为多重定义或隐式编码法,即将微指令划分为若干字段,微命令由本字段编码和其他字段解释共同给出。

功能转移:将机器指令代码转换成相应的微程序入口地址,称为功能转移。

增量方式:这是一种产生微地址的方式,即以顺序执行为主,后续微地址在现行微地址的基础上增量产生,并配合多种常规转移方式。

断定方式:这也是一种产生微地址的方式,通过直接给定和测试断定相结合来产生后续微地址,即后续微地址的一部分由现行微指令给定,另一部分则由测试判断来确定。

第4章存储系统

虚拟存储器:依靠操作系统的支持来实现的,为用户提供一个比实际内存大的可访问存储器空间,即在软件编程上可使用的存储器。

随机存储器RAM:按给定地址随机地访问任一存储单元,访问时间与单元位置无关。

只读存储器ROM:在正常工作中只能读出、不能写入的存储器。

存取周期:指存储器做连续访问操作过程中一次完整的存取操作所需的全部时间。

数据传输率:数据传入或传出存储器的速率。

动态刷新:对动态存储器中原存信息为1的电容补充电荷,称为动态刷新。

直接映像Cache:将主存与Cache的存储空间划分为若干大小相同的页,每个主存页只能复制到某一个固定的Cache页中。

全相联映像Cache:将主存与Cache的存储空间划分为若干大小相同的页,主存的每一页可以映像到Cache的任一页上。

组相联映像Cache:将主存与Cache都分组,主存中一个组内的页数与Cache的分组数相同,每一组Cache中含有若干页(一般页数较少),则主存中的各页与Cache的固定组号有

映像关系,可自由映像到对应的Cache组中任一页。

段页式虚拟存储器:将程序按其逻辑结构分段,每段再分为若干大小相同的页,主存空间也划分为若干同样大小的页。相应地,建立段表与页表,分两级查表实现虚实地址的转换。

以页为单位调进或调出主存,按段共享与保护程序及数据。

相联(联想)存储器:一种按内容寻址的存储器,是根据所存信息的全部特征或部分特征进行存取的存储器,称为相联存储器。

第5章输入/输出系统

系统总线:计算机系统内各功能部件之间,或各插件板之间互连的总线。

外总线:计算机系统之间,或计算机系统与其他系统之间互连的总线。

局部总线:直接与CPU连接的一段总线,称为局部总线。

通信总线:连接远距离信息传送的通信工具之间的传送线路,称为通信总线,常采用串行总线作为通信总线。

同步总线:数据的传送操作由统一的系统时钟同步定时的总线,称为同步总线。

异步总线:无固定时钟周期划分,总线周期时间由各部件操作的实际需要决定,采用异步应答方式控制传送操作的总线。

缓冲深度:接口中设置的数据缓冲寄存器的数量或缓冲区的容量,称为缓冲深度。

中断接口:如果主机与外围设备之间的信息传送采用程序中断方式控制,则接口需有相应的中断系统所需的逻辑,这样的接口称为中断接口。

DMA接口:如果主机与高速外围设备之间的信息传送采用DMA方式控制,则接口中需有相应的DMA 逻辑,这样的接口被称为DMA接口。

总线宽度:任何总线的线路在功能上可分为3组:数据线、地址线和控制线。所谓总线宽度,即各功能组中的信号线数。

主设备:申请并得到总线控制权的设备,称为主设备。

从设备:响应主设备请求的设备,称为从设备。

直接程序传送方式:CPU直接利用I/O指令编程,实现数据的输入和输出的方式,称为直接程序传送方式。

中断:CPU暂时中断现行程序的执行,转去执行处理某些随机事态的中断服务程序,处理完后自动恢复原程序的执行。

软中断:由执行软中断指令所引起的中断。

实时处理:指在事件出现的实际时间内及时地进行处理。

硬件中断:指由某个硬件中断请求信号引发的中断。

可屏蔽中断:CPU可以通过送屏蔽字或开/关中断来禁止和开放中断,中断请求能否响应由CPU开/ 关决定。

非屏蔽中断:中断请求的响应不受屏蔽字影响,与CPU的开/关中断状态无关。

向量中断:将各个中断服务程序的入口地址(或包括状态字)组织成中断向量表;响应中断时,由硬件直接产生对应于中断源的向量地址;据此访问中断向量表,从中读取服务程序入口地址,

由此转向服务程序。

非向量中断:以软件查询的方式提供中断服务程序入口地址的中断。

中断向量:中断服务程序入口地址和状态字在一起,称为中断向量。

向量地址:访问中断向量表的地址码,即读取中断向量所需的地址。

中断向量表:用来存放中断向量的一种表格,称为中断向量表。

中断隐指令操作:在中断周期中,直接依靠硬件实现关中断、保存断点、通过中断类型码计算中断程序的入口地址并转中断服务程序等操作,称为中断隐指令操作。

现场保护:执行中断服务程序时,可能使用某些寄存器,这就会破坏它们原先保存的内容,因此需要事先将它们的内容保存起来,称为现场保护。

DMA方式:即直接存储器访问,DMA方式是直接依靠硬件实现主存储器和外部设备间进行数据传送,

传送时不需要CPU的干预。

DMA初始化:在DMA传送操作开始前,为实现有关控制,CPU需要事先向DMA控制器送出有关控制信息。在调用I/O设备时,通过程序所做的这些准备工作,称为DMA初始化。

第6章输入/输出设备及接口

I/O设备:计算机系统与人、其他设备或系统之间进行信息交换的装置,主机以外的大部分硬件设备都称为外围设备或I/O设备,如打印机、显示器和磁盘等设备。

并行传送:同时采用多根传送线,并行地传送一字节或一个字,称为并行传送。

串行传送:采用单根信号传送线(对公共地形成电位差)或用一对传送线(一根信号线,一根地线)逐位串行地传送数据代码,称为串行传送。

扫描码:通过硬件(或软件)扫描,识别所按下的键的行列位置,表示该行列位置的代码称为扫描码,也称为位置码或座标码。

逐行扫描法:将链连成m行×n列的矩阵,在执行键盘扫描程序时,CPU从第0行开始,逐行为0 (其余各行为1)的方式,将代码0送往行线,并取回列线状态来判别按键位置,这种

确定按键位置的方法称为逐行扫描法。

行列扫描法:先逐列为1地步进扫描,CPU测试是哪一列为1时行线组输出为“1”,从而判明按键的列号;再逐行为“1”地步进扫描,CPU测试哪一行为1时,列线组输出也是“1”,

即判明哪行按了键。CPU根据行、列扫描结果便能确定按键的位置。行列号形成对应的

扫描码(或位置码),这种键盘扫描法称为行列扫描法。

像点(像素):将显示屏幕沿水平和垂直方向划分成m×n个最少的显示区域,这个个最小区域就是显示器的最小显示单元,对应屏上一个显示点,该最小显示区域称为像点或像素。

分辨率:指显示器一屏所能表示的像素的最大个数。

灰度级:在黑白显示器中,灰度级是指所显示的像素点的亮暗程度,在彩色显示器中,则表示颜色的不同。

字符/数字方式:对显示器(或打印机)而言,以字符作为显示(或打印)内容的基本单元,这种方式称为字符/数字方式,也称为文本显示(或打印)方式。

图形方式:以像点作为显示内容的基本单元的显示方式称为圆形方式。某些打印机也有相似的圆形打印方式。

位密度:沿磁道圆周,单位距离可记录的二进制代码位数称为位密度。

道容量:指一条磁道所能存放的二进制代码位数。

计算机组成原理_第四版课后习题答案(完整版)[]

第一章 1.比较数字计算机和模拟计算机的特点 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的;数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。两者主要区别见 P1 表 1.1 。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、 中型机、小型机、微型机和单片机六类。分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、 指令系统规模和机器价格等因素。 3.数字计算机有那些主要应用?(略) 4.冯 . 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯 . 诺依曼型计算机的主要设计思想是:存储程序和程序控制。存储程序:将解题的程序(指令序列)存放到存储器中;程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB MB GB来度量,存储 容 量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。单元地址:单元地址简称地址,在存储器中每个存储单元都有唯一的地址编号,称为单元地 址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的信息即为数据信息。

计算机组成原理第五版 白中英(详细)第4章习题参考答案

第4章习题参考答案 1.ASCII码是7位,如果设计主存单元字长为32位,指令字长为12位,是否合理?为什么? 答:不合理。指令最好半字长或单字长,设16位比较合适。一个字符的ASCII 是7位,如果设计主存单元字长为32位,则一个单元可以放四个字符,这也是可以的,只是在存取单个字符时,要多花些时间而已,不过,一条指令至少占一个单元,但只占一个单元的12位,而另20位就浪费了,这样看来就不合理,因为通常单字长指令很多,浪费也就很大了。 2.假设某计算机指令长度为32位,具有双操作数、单操作数、无操作数三类指令形式,指令系统共有70条指令,请设计满足要求的指令格式。 答:字长32位,指令系统共有70条指令,所以其操作码至少需要7位。 双操作数指令 单操作数指令 无操作数指令 3.指令格式结构如下所示,试分析指令格式及寻址方式特点。 答:该指令格式及寻址方式特点如下: (1) 单字长二地址指令。 (2) 操作码字段OP可以指定26=64种操作。 (3) 源和目标都是通用寄存器(可分指向16个寄存器)所以是RR型指令,即两个操作数均在寄存器中。 (4) 这种指令结构常用于RR之间的数据传送及算术逻辑运算类指令。 4.指令格式结构如下所示,试分析指令格式及寻址方式特点。 15 10 9 8 7 4 3 0 答:该指令格式及寻址方式特点如下: (1)双字长二地址指令,用于访问存储器。 (2)操作码字段OP可以指定26=64种操作。 (3)RS型指令,一个操作数在通用寄存器(选择16个之一),另一个操作数 在主存中。有效地址可通过变址寻址求得,即有效地址等于变址寄存器(选择16个之一)内容加上位移量。

计算机组成原理答案

第二章运算方法和运算器练习 一、填空题 1. 补码加减法中,(符号位)作为数的一部分参加运算,(符号位产生的进位)要丢掉。 2. 为判断溢出,可采用双符号位补码,此时正数的符号用(00)表示,负数的符号用(11)表示。 3. 采用双符号位的方法进行溢出检测时,若运算结果中两个符号位(不相同),则表明发生了溢出。若结果的符号位为(01),表示发生正溢出;若为(10),表示发生负溢出。 4. 采用单符号位进行溢出检测时,若加数与被加数符号相同,而运算结果的符号与操作数的符号(不一致),则表示溢出;当加数与被加数符号不同时,相加运算的结果(不会产生溢出)。 5. 利用数据的数值位最高位进位C和符号位进位Cf的状况来判断溢出,则其表达式为over=(C⊕Cf)。 6. 在减法运算中,正数减(负数)可能产生溢出,此时的溢出为(正)溢出;负数减(正数)可能产生溢出,此时的溢出为(负)溢出。 7. 补码一位乘法运算法则通过判断乘数最末位Yi和Yi-1的值决定下步操作,当 YiYi-1=(10)时,执行部分积加【-x】补,再右移一位;当YiYi-1=(01)时,执行部分积加 【x】补,再右移一位。 8. 浮点加减运算在(阶码运算溢出)情况下会发生溢出。 9. 原码一位乘法中,符号位与数值位(分开运算),运算结果的符号位等于(两操作数符号的异或值)。 10. 一个浮点数,当其补码尾数右移一位时,为使其值不变,阶码应该(加1)。 11. 左规的规则为:尾数(左移一位),阶码(减1)。 12. 右规的规则是:尾数(右移一位),阶码(加1)。 13. 影响进位加法器速度的关键因素是(进位信号的传递问题)。 14. 当运算结果的补码尾数部分不是(11.0×××××或00.1×××××)的形式时,则应进行规格化处理。当尾数符号位为(01)或(10)时,需要右规。 15. (进位信号的产生与传递逻辑)称为进位链。

计算机组成原理第五版 白中英(详细)第5章习题参考答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是(IR ); (2)保存当前正在执行的指令地址的寄存器是(AR ) (3)算术逻辑运算结果通常放在(DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理课后复习资料白中英主编第五版立体化教材

计算机组成原理第五版习题答案计算机组成原理第五版习题答案 第一章 (1) 第二章 (3) 第三章 (14) 第四章 (19) 第五章 (21) 第六章 (27) 第七章 (31) 第八章 (34) 第九章 (36)

1

计算机组成原理第五版习题答案第一章 1.模拟计算机的特点是数值由连续量来表示,运算过程也是连续的。数字计算机的主要特点是按位运算,并且不连续地跳动计算。模拟计算机用电压表示数据,采用电压组合和测量值的计算方式,盘上连线的控制方式,而数字计算机用数字0 和 1 表示数据,采用数字计数的计算方式,程序控制的控制方式。数字计算机与模拟计算机相比,精度高,数据存储量大,逻辑判断能力强。 2.数字计算机可分为专用计算机和通用计算机,是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 3.科学计算、自动控制、测量和测试、信息处理、教育和卫生、家用电器、人工智能。4.主要设计思想是:采用存储程序的方式,编制好的程序和数据存放在同一存储器中,计算机可以在无人干预的情况下自动完成逐条取出指令和执行指令的任务;在机器内部,指令和数据均以二进制码表示,指令在存储器中按执行顺序存放。主要组成部分有::运算器、逻辑器、存储器、输入设备和输出设备。 5.存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字。6.计算机硬件可直接执行的每一个基本的算术运算或逻辑运算操作称为一条指令,而解算某一问题的一串指令序列,称为程序。 7.取指周期中从内存读出的信息流是指令流,而在执行器周期中从内存读出的信息流是数据流。 8.半导体存储器称为内存,存储容量更大的磁盘存储器和光盘存储器称为外存,内存和外存共同用来保存二进制数据。运算器和控制器合在一起称为中央处理器,简称CPU,它用来控制计算机及进行算术逻辑运算。适配器是外围设备与主机联系的桥梁,它的作用相当于一个转换器,使主机和外围设备并行协调地工作。 9.计算机的系统软件包括系统程序和应用程序。系统程序用来简化程序设计,简化使用方法,提高计算机的使用效率,发挥和扩大计算机的功能用用途;应用程序是用户利用计算机来解决某些问题而编制的程序。 10.在早期的计算机中,人们是直接用机器语言来编写程序的,这种程序称为手编程序或目的程序;后来,为了编写程序方便和提高使用效率,人们使用汇编语言来编写程序,称为汇编程序;为了进一步实现程序自动化和便于程序交流,使不熟悉具体计算机的人也能很方便地使用计算机,人们又创造了算法语言,用算法语言编写的程序称为源程序,源程序通过编译系统产生编译程序,也可通过解释系统进行解释执行;随着计算机技术的日益发展,人们又创造出操作系统;随着计算机在信息处理、情报检索及各种管理系统中应用的发展,要求大量处理某些数据,建立和检索大量的表格,于是产生了数据库管理系统。 11.第一级是微程序设计级,这是一个实在的硬件级,它由机器硬件直接执行微指令; 第二级是一般机器级,也称为机器语言级,它由程序解释机器指令系统;第三级是操作系统级,它由操作系统实现;第四级是汇编语言级,它给程序人员提供一种符号形式语言,以减少程序编写的复杂性;第五级是高级语言级,它是面向用户的,为方便用户编写应用程序而设置的。用一系列的级来组成计算机的接口对于掌握计算机是如何组成的提供了一种好的结构和体制,而且用这种分级的观点来设计计算机对保证产生一个良好的系统结构也是很有帮助的。

计算机组成原理课后答案

… 第一章计算机系统概论 什么是计算机系统、计算机硬件和计算机软件硬件和软件哪个更重要 计算机系统:计算机硬件、软件和数据通信设备的物理或逻辑的综合体 计算机硬件:计算机的物理实体 计算机软件:计算机运行所需的程序及相关资料 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要 如何理解计算机系统的层次结构 实际机器M1向上延伸构成了各级虚拟机器,机器M1内部也可向下延伸而形成下一级的微程序机器M0,硬件研究的主要对象归结为传统机器M1和微程序机器M0,软件研究对象主要是操作系统及以上的各级虚拟机 》 说明高级语言、汇编语言和机器语言的差别及其联系。 机器语言是可以直接在机器上执行的二进制语言 汇编语言用符号表示指令或数据所在存储单元的地址,使程序员可以不再使用繁杂而又易错的二进制代码来编写程序 高级语言对问题的描述十分接近人们的习惯,并且还具有较强的通用性 如何理解计算机组成和计算机体系结构 计算机体系结构是对程序员可见的计算机系统的属性 计算机组成对程序员透明,如何实现计算机体系结构所体现的属性 冯·诺依曼计算机的特点是什么 。 由运算器、控制器、存储器、输入设备、输出设备五大部件组成 指令和数据以同一形式(二进制形式)存于存储器中 指令由操作码、地址码两大部分组成 指令在存储器中顺序存放,通常自动顺序取出执行 以运算器为中心(原始冯氏机) 画出计算机硬件组成框图,说明各部件的作用及计算机硬件的主要技术指标。 计算机硬件各部件 运算器:ACC, MQ, ALU, X ' 控制器:CU, IR, PC 主存储器:M, MDR, MAR I/O设备:设备,接口 计算机技术指标: 机器字长:一次能处理数据的位数,与CPU的寄存器位数有关 存储容量:主存:存储单元个数×存储字长 运算速度:MIPS, CPI, FLOPS 解释概念 & 主机:计算机硬件的主体部分,由 CPU+MM(主存或内存)组成 CPU:中央处理器,是计算机硬件的核心部件,由运算器+控制器组成 主存:计算机中存放正在运行的程序和数据的存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成

计算机组成原理课后答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操 作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义: CPU、PC、IR、CU、ALU、ACC、MQ、X、MAR、MDR、I/O、MIPS、CPI、FLOPS 解:全面的回答应分英文全称、中文名、功能三部分。 CPU:Central Processing Unit,中央处理机(器),是计算机硬件的核心部件,主要由运算器和控制器组成。 PC:Program Counter,程序计数器,其功能是存放当前欲执行指令的地址,并可自动计数

计算机组成原理第二版课后习题答案

第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解: 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 2. 如何理解计算机的层次结构? 答:计算机硬件、系统软件和应用软件构成了计算机系统的三个层次结构。 (1)硬件系统是最内层的,它是整个计算机系统的基础和核心。 (2)系统软件在硬件之外,为用户提供一个基本操作界面。 (3)应用软件在最外层,为用户提供解决具体问题的应用系统界面。 通常将硬件系统之外的其余层称为虚拟机。各层次之间关系密切,上层是下层的扩展,下层是上层的基础,各层次的划分不是绝对的。 3. 说明高级语言、汇编语言和机器语言的差别及其联系。 答:机器语言是计算机硬件能够直接识别的语言,汇编语言是机器语

言的符号表示,高级语言是面向算法的语言。高级语言编写的程序(源程序)处于最高层,必须翻译成汇编语言,再由汇编程序汇编成机器语言(目标程序)之后才能被执行。 4. 如何理解计算机组成和计算机体系结构? 答:计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,如指令系统、数据类型、寻址技术组成及I/O机理等。计算机组成是指如何实现计算机体系结构所体现的属性,包含对程序员透明的硬件细节,如组成计算机系统的各个功能部件的结构和功能,及相互连接方法等。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大 部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访 问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的 性质,地址码用来表示操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。

计算机组成原理第四版课后题答案五,六章

第五章 1.请在括号内填入适当答案。在CPU中: (1) 保存当前正在执行的指令的寄存器是(指令寄存器IR); (2) 保存当前正要执行的指令地址的寄存器是(程序计数器PC); (3) 算术逻辑运算结果通常放在(通用寄存器)和(数据缓冲寄存器DR)。 2.参见下图(课本P166图5.15)的数据通路。画出存数指令"STA R1 ,(R2)"的指令周期 流程图,其含义是将寄存器R1的内容传送至(R2)为地址的主存单元中。标出各微操作信 号序列。 解:"STA R1 ,(R2)"指令是一条存数指令,其指令周期流程图如下图所示:

3.参见课本P166图5.15的数据通路,画出取数指令"LDA(R3),RO"的指令周期流程图, 其含义是将(R3)为地址的主存单元的内容取至寄存器R0中,标出各微操作控制信号序列。 5.如果在一个CPU周期中要产生3个脉冲 T1 = 200ns ,T2 = 400ns ,T3 = 200ns,试画出 时序产生器逻辑图。 解:节拍脉冲T1 ,T2 ,T3 的宽度实际等于时钟脉冲的周期或是它的倍数,此时T1 = T3 =200ns , T2 = 400 ns ,所以主脉冲源的频率应为 f = 1 / T1 =5MHZ 。为了消除节拍脉冲上的毛刺,环 型脉冲发生器可采用移位寄存器形式。下图画出了题目要求的逻辑电路图和时序信号关系。根据关 系,节拍脉冲T1 ,T2 ,T3 的逻辑表达式如下:

T1 = C1·, T2 = , T3 = 6.假设某机器有80条指令,平均每条指令由4条微指令组成,其中有一条取指微指令是所有指 令公用的。已知微指令长度为32位,请估算控制存储器容量。 解:微指令条数为:(4-1)×80+1=241条 取控存容量为:256×32位=1KB 7. 某ALU器件使用模式控制码M,S3,S2,S1,C来控制执行不同的算术运算和逻辑操作。 下表列出各条指令所要求的模式控制码,其中y为二进制变量,F为

计算机组成原理练习题答案

一、选择题 1、完整得计算机系统应包括运算器、存储器、控制器。 一个完整得计算系统应该就是:硬件系统与软件系统,硬件系统应该包括运算器,控制器,存储器,输入设备与输出设备,软件系统包括系统软件与应用软件、而您给得答案中B与D就是可以排除得,也就就是不能选,A与C两个中A得可能性最大,答案只能选A、 3、冯、诺依曼计算机工作方式得基本特点就是按地址访问并顺序执行指令. 4、移码表示法主要用于表示浮点数中得阶码。 5、动态RAM得刷新就是以行为单位得。 8、在定点运算器中产生溢出得原因就是运算得结果得超出了机器得表示范围。 10、在指令得地址字段中,直接指出操作数本身得寻址方式,称为立即寻址. 11、目前得计算机,从原理上讲指令与数据都以二进制形式存放. 13、计算机问世至今,新型机器不断推陈出新,不管怎样更新,依然保有“存储程序”得概念,最早提出这种概念得就是冯、诺依曼。 16、在CPU中,跟踪后继指令地址得寄存器就是程序计数器。 20、系统总线中地址总线得作用就是用于选择指定得存储单元或外设。 21、计算机中得主机包含运算器、控制器、存储器。 23、原码一位乘运算,乘积得符号位由两个操作数得符号进行异或运算. 24、对于真值“0”表示形式唯一得机器数就是移码与补码。 25、若[X]补=0、0100110,则[X]反= 0、0100110。--x为正数 26、在CPU中,存放当前执行指令得寄存器就是指令寄存器。 保存当前正在执行得指令得寄存器称为(指令寄存器)。 指示当前正在执行得指令地址得寄存器称为(程序计数器或指令计数器)。 27、下列编码中通常用作字符编码得就是ASCII码。 ASCII ASCII(American Standard CodeforInformationInterchange,美国信息互换标准代码)就是基于拉丁字母得一套电脑编码系统.它主要用于显示现代英语与其她西欧语言。它就是现今最通用得单字节编码系统,并等同于国际标准ISO/IEC646。28、在下列存储器中,半导体存储器可以作为主存储器. 30、在CPU中跟踪指令后继地址得寄存器就是PC。 31、EPROM就是指光擦除可编程得只读存储器。

计算机组成原理都给对方版答案(完整版)

1.1 概述数字计算机的发展经过了哪几个代各代的基本特征是什么 略。 1.2 你学习计算机知识后,准备做哪方面的应用略。 1.3 试举一个你所熟悉的计算机应用例子。 略。 1.4 计算机通常有哪些分类方法你比较了解的有哪些类型的计算机 `

1.5 计算机硬件系统的主要指标有哪些 答:机器字长、存储容量、运算速度、可配置外设等。 答:计算机硬件系统的主要指标有:机器字长、存储容量、运算速度等。 1.6 什么是机器字长它对计算机性能有哪些影响

答:指CPU一次能处理的数据位数。它影响着计算机的运算速度,硬件成本、指令系统功能,数据处理 精度等。 1.7 什么是存储容量什么是主存什么是辅存 答:存储容量指的是存储器可以存放数据的数量(如字节数)。它包括主存容量和辅存容量。 主存指的是CPU能够通过地址线直接访问的存储器。如内存等。 辅存指的是CPU不能直接访问,必须通过I/O接口和地址变换等方法才能访问的存储器,如硬盘,u盘等。1.8 根据下列题目的描述,找出最匹配的词或短语,每个词或短语只能使用一次。 (1)为个人使用而设计的计算机,通常有图形显示器、键盘和鼠标。 (2)计算机中的核心部件,它执行程序中的指令。它具有加法、测试和控制其他部件的功能。

(3)计算机的一个组成部分,运行态的程序和相关数据置于其中。 (4)处理器中根据程序的指令指示运算器、存储器和I/O设备做什么的部件。 (5)嵌入在其他设备中的计算机,运行设计好的应用程序实现相应功能。 (6)在一个芯片中集成几十万到上百万个晶体管的工艺。 (7)管理计算机中的资源以便程序在其中运行的程序。 (8)将高级语言翻译成机器语言的程序。 (9)将指令从助记符号的形式翻译成二进制码的程序。 (10)计算机硬件与其底层软件的特定连接纽带。 供选择的词或短语:

白中英《计算机组成原理》(第5版)教材精讲(计算机系统概论 计算机的硬件)

1.3 计算机的硬件 一、硬件组成要素 1.举例说明 要了解数字计算机的主要组成和工作原理,可从打算盘说起。假设给一个算盘、一张带有横格的纸和一支笔,要求计算这样一个题目。为了和下面讲到的内容做比较,不妨按以下方法把使用算盘进行解题的过程步骤事先用笔详细地记录在带横格的纸上。 (1)首先,将横格纸编上序号,每一行占一个序号,如l,2,3,…,n,如表1-2所示。 (2)其次,把计算式中给定的四个数a,b,c和z分别写到横格纸的第 9,10,11,12行上,每一行只写一个数。 (3)接着详细列出给定题目的解题步骤,而解题步骤也需要记在横格纸上,每一步也只写一行。第一步写到横格纸的第l行,第二步写到第2行,……依次类推。 (4)如表1-2所示,根据表中所列的解题步骤,从第l行开始,一步一步进行计算,最后可得出所要求的结果。

表1-2 解题步骤和数据记录在横格纸上 2.冯·诺依曼体系结构 计算机组成原理讨论的基础就是冯·诺依曼的计算机,其基本设计思想就是存储程序和程序控制,具有以下特点: (1)由运算器、存储器、控制器、输入设备和输出设备五大部件组成计算机系统,并规定了这五部分的基本功能。

(2)采用存储程序的方式,程序和数据放在同一个存储器中,指令和数据一样可以送到运算器运算,即由指令组成的程序是可以修改的。 (3)数据以二进制数码表示。 (4)指令由操作码和地址码组成。 (5)指令在存储器中按顺序存放,由指令计数器PC 指明要执行的指令所在单元地址,一般按顺序递增,但可按运算结果或外界条件改变。 (6)机器以运算器为中心,I/O 设备与存储器间数据传送都通过运算器。 计算机硬件系统的基本构成如图1-9所示。 图1-9 冯·诺依曼型计算机 二、 运算器 算术运算和逻辑运算 ; 在计算机中参与运算的数是二进制的 ; 运算器的长度一般是8、16、32或64位。 运算器的结构示意图如图1-10所示。

计算机组成原理习题答案

第一章 1.电子数字计算机和电子模拟计算机的区别在哪里? 解:电子数字计算机中处理的信息是在时间上离散的数字量,运算的过程是不连续的;电子模拟计算机中处理的信息是连续变化的物理量,运算的过程是连续的。 2.冯·诺依曼计算机的特点是什么?其中最主要的一点是什么? 解:冯·诺依曼计算机的特点如下: ①计算机(指硬件)应由运算器、存储器、控制器、输入设备和输出设备五大基本部件组成; ②计算机内部采用二进制来表示指令和数据; ③将编好的程序和原始数据事先存入存储器中,然后再启动计算机工作。 第③点是最主要的一点。 3.计算机的硬件是由哪些部件组成的?它们各有哪些功能? 解:计算机的硬件应由运算器、存储器、控制器、输入设备和输出设备五大基本部件组成。它们各自的功能是: ①输入设备:把人们编好的程序和原始数据送到计算机中去,并且将它们转换成计算机内部所能识别和接受的信息方式。 ②输出设备:将计算机的处理结果以人或其他设备所能接受的形式送出计算机。 ③存储器:用来存放程序和数据。 ④运算器:对信息进行处理和运算。

⑤控制器:按照人们预先确定的操作步骤,控制整个计算机的各部件有条不紊地自动工作。 4.什么叫总线?简述单总线结构的特点。 解:总线是一组能为多个部件服务的公共信息传送线路,它能分时地发送与接收各部件的信息。单总线结构即各大部件都连接在单一的一组总线上,这个总线被称为系统总线。CPU 与主存、CPU 与外设之间可以直接进行信息交换,主存与外设、外设与外设之间也可以直接进行信息交换,而无须经过CPU 的干预。 5.简单描述计算机的层次结构,说明各层次的主要特点。 解:现代计算机系统是一个硬件与软件组成的综合体,可以把它看成是按功能划分的多级层次结构。 第0级为硬件组成的实体。 第1级是微程序级。这级的机器语言是微指令集,程序员用微指令编写的微程序一般是直接由硬件执行的。 第2级是传统机器级。这级的机器语言是该机的指令集,程序员用机器指令编写的程序可以由微程序进行解释。 第3级是操作系统级。从操作系统的基本功能来看,一方面它要直接管理传统机器中的软硬件资源,另一方面它又是传统机器的延伸。第4级是汇编语言级。这级的机器语言是汇编语言,完成汇编语言翻译的程序叫做汇编程序。 第5级是高级语言级。这级的机器语言就是各种高级语言,通常用编译程序来完成高级语言翻译的工作。

计算机组成原理第四版课后题答案三,四章

第三章 1.有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片?(3)需要多少位地址作芯片选择? 解:(1)∵ 220= 1M,∴该存储器能存储的信息为:1M×32/8=4MB (2)(1000/512)×(32/8)= 8(片) (3)需要1位地址作为芯片选择。 2. 已知某64位机主存采用半导体存储器,其地址码为26位,若使用256K×16位的DRAM芯片组成该机所允许的最大主存空间,并选用模块板结构形式,问: (1)每个模块板为1024K×64位,共需几个模块板? (2)个模块板内共有多少DRAM芯片? (3)主存共需多少DRAM芯片? CPU如何选择各模块板? 解:(1). 共需模块板数为m: m=÷=64 (块) (2). 每个模块板内有DRAM芯片数为n: n=(/) ×(64/16)=16 (片) (3) 主存共需DRAM芯片为:16×64=1024 (片) 每个模块板有16片DRAM芯片,容量为1024K×64位,需20根地址线(A19~A0)完成模块 板内存储单元寻址。一共有64块模块板,采用6根高位地址线(A25~A20),通过 6:64译码器译码产生片选信号对各模块板进行选择。 3.用16K×8位的DRAM芯片组成64K×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为0.5μS, CPU在1μS内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解:(1)组成64K×32位存储器需存储芯片数为

计算机组成原理答案

福建师范大学网络教育学院 《计算机组成原理》作业 一、单项选择题 1、CRT的分辨率为1024×1024像数,像数的颜色数为256,则刷新存储器容量是( C ) A.512KB B.1MB C.256MB D.8MB 2、现代计算机的运算器一般通过总线结构来组织,在下面的总线结构运算器中,单总线结构的操作速度最慢,而( D )的操作速度最快。 A.双总线结构 B.多总线结构C.单总线结构 D.三总线结构 3、浮点数的表示范围和精度取决于( A ) A.阶码的位数和尾数的位数 B.阶码的位数和尾数采用的编码 C.阶码采用的编码和尾数采用的编码 D. 阶码采用的编码和尾数的位数4、ALU属于( A )部件。 A.运算器 B.控制器 C.存储器 D.寄存器 5、微程序入口地址是( B )根据指令的操作码产生的。 A.计数器 B.译码器 C.计时器 D.判断逻辑矩阵 二、改错题(针对各小题的题意,改正其结论中错误或补充其不足。) 1、微程序控制器相比,组合逻辑控制器的速度较慢。 答:微程序的控制器的优点是设计与实现简单些,易用于实现系列计算机产品的控制器,理论上可实现动态微程序设计,缺点是运行速度要慢一些。 组合逻辑控制器的优点是运行速度明显地快,缺点是设计与实现复杂些,但随着EDA工具的成熟,该缺点已得到很大缓解 2、为了保证中断服务程序执行完毕以后,能正确返回到被中断的断点继续执 行程序,不用进行现场保护操作。 答:必须进行现场保护操作

3、程序计数器PC用来指示从外存中取指令。 答:因为程序计算器PC 是用来从内存中取读指令的 三、名词解释 1、ALU 算术逻辑单元 (Arithmetic Logic Unit, ALU)是中央处理器(CPU)的执行 单元 所有中央处理器的核心组成部分 2、RISC RISC 为Reduced Instruction Set Computing 的缩写 文翻译为精简执令 运算集 处是 CPU 核心很容易就能提升效能且消耗功率低 程式撰写较 为复杂 3、堆栈 四、简答题 1、简述主存和辅存的区别。 主存也是内存 存可以被CPU 直接访问 RAM 和ROM 组成 快速进 行读写操作。用于存放正在运行的程序和数据 它速度快但成本高。辅存不能被CPU 直接访问 于存放那些暂时不用的程 序和数据辅存一般是由容量大、速度较慢、价格低的磁表面存储器和光存储 器等充当。 2、比较同步通信和异步通信。 同步通信是一种比特同步通信技术 求发收双方具有同频同相的同步时钟信号 需在传送报文的最前面附加特定的同步字 符 发收双方建立同步 后便在同步时钟的控制下逐位发送/接收。 相对于同步通信 步通信在发送字符时 发送的字符之间的时隙可以是任意的。但是接收端必须时刻做好接收的准备 果接收端主机的电源都没有加上 么发送端发送字符就没有意义 为接收端根本无法接收 发送端可以在任意时 刻开始发送字符 此必须在每一个字符的开始和结束的地方加上标志 加上开始位和停止位 便使接收端能够正确地 将每一个字符接收下来。异步通信的好处是通信设备简单、便宜 传输效率较低 为开始位和停止位的开销所占比例较大 五、计算题 设机器字长为8位(含1位符号位),用补码运算规则计算:

计算机组成原理练习答案

计算机组成原理练习3 一、单项选择题 1. 设寄存器内容为80H,若它对应的真值是– 127,则该机器数是。 A. 原码 B. 补码 C. 反码 D. 移码 2. 若浮点数用补码表示,则判断运算结果是否为规格化数的方法是______。 A. 阶符与数符相同为规格化数; B. 阶符与数符相异为规格化数; C. 数符与尾数小数点后第一位数字相异为规格化数; D. 数符与尾数小数点后第一位数字相同为规格化数。 3. 设机器数字长为32位,一个容量为16MB的存储器,CPU按半字寻址,其寻址范围是。 A. 224 B. 223 C. 222 D. 221 4. 在中断接口电路中,向量地址可通过送至CPU。 A. 地址线 B. 数据线 C. 控制线 D. 状态线 5. 在程序的执行过程中,Cache与主存的地址映象是由。 A. 程序员调度的; B. 操作系统管理的; C. 由程序员和操作系统共同协调完成的; D. 硬件自动完成的。 6. 总线复用方式可以______。 A. 提高总线的传输带宽; B. 增加总线的功能; C. 减少总线中信号线的数量; D. 提高CUP利用率。 7. 下列说法中正确的是。 A. Cache与主存统一编址,Cache的地址空间是主存地址空间的一部分; B. 主存储器只由易失性的随机读写存储器构成; C. 单体多字存储器主要解决访存速度的问题; D. Cache不与主存统一编址,Cache的地址空间不是主存地址空间的一部分。 8. 在采用增量计数器法的微指令中,下一条微指令的地址______。 A. 在当前的微指令中; B. 在微指令地址计数器中; C. 在程序计数器; D. 在CPU中。 9. 由于CPU内部操作的速度较快,而CPU访问一次存储器的时间较长,因此机器周期通常由______来确定。 A. 指令周期; B. 存取周期; C. 间址周期; D. 执行周期。 10. RISC机器______。 A. 不一定采用流水技术; B. 一定采用流水技术; C. CPU配备很少的通用寄存器; D. CPU配备很多的通用寄存器。 11. 在下列寻址方式中,寻址方式需要先计算,再访问主存。 A. 立即; B. 变址; C. 间接; D. 直接。 12. 在浮点机中,判断补码规格化形式的原则是______。 A. 尾数的第一数位为1,数符任意; B. 尾数的符号位与第一数位相同;

计算机组成原理课后答案(白中英第四版)第一章

第一章 1.模拟计算机的特点是数值由连续量来表示,运算过程也是连续的。数字计算机的主要特点是按位运算,并且不连续地跳动计算。模拟计算机用电压表示数据,采用电压组合和测量值的计算方式,盘上连线的控制方式,而数字计算机用数字0和1表示数据,采用数字计数的计算方式,程序控制的控制方式。数字计算机与模拟计算机相比,精度高,数据存储量大,逻辑判断能力强。 2.数字计算机可分为专用计算机和通用计算机,是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 3.科学计算、自动控制、测量和测试、信息处理、教育和卫生、家用电器、人工智能。4.主要设计思想是:存储程序通用电子计算机方案,主要组成部分有:运算器、逻辑控制装置、存储器、输入和输出设备 5.存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字。6.每一个基本操作称为一条指令,而解算某一问题的一串指令序列,称为程序。 7.取指周期中从内存读出的信息流是指令流,而在执行器周期中从内存读出的信息流是指令流。 8.半导体存储器称为内存,存储容量更大的磁盘存储器和光盘存储器称为外存,内存和外存共同用来保存二进制数据。运算器和控制器合在一起称为中央处理器,简称CPU,它用来控制计算机及进行算术逻辑运算。适配器是外围设备与主机联系的桥梁,它的作用相当于一个转换器,使主机和外围设备并行协调地工作。 9.计算机的系统软件包括系统程序和应用程序。系统程序用来简化程序设计,简化使用方法,提高计算机的使用效率,发挥和扩大计算机的功能用用途;应用程序是用户利用计算机来解决某些问题而编制的程序。 10.在早期的计算机中,人们是直接用机器语言来编写程序的,这种程序称为手编程序或目的程序;后来,为了编写程序方便和提高使用效率,人们使用汇编语言来编写程序,称为汇编程序;为了进一步实现程序自动化和便于程序交流,使不熟悉具体计算机的人也能很方便地使用计算机,人们又创造了算法语言,用算法语言编写的程序称为源程序,源程序通过编译系统产生编译程序,也可通过解释系统进行解释执行;随着计算机技术的日益发展,人们又创造出操作系统;随着计算机在信息处理、情报检索及各种管理系统中应用的发展,要求大量处理某些数据,建立和检索大量的表格,于是产生了数据库管理系统。11.从第一至五级分别为微程序设计级、一般机器级、操作系统级、汇编语言级、高级语言级。采用这种用一系列的级来组成计算机的概念和技术,对了解计算机如何组成提供了一种好的结构和体制。而且用这种分级的观点来设计计算机,对保证产生一个良好的系统结构也是很有帮助的。 12.因为任何操作可以由软件来实现,也可以由硬件来实现;任何指令的执行可以由硬件完成,也可以由软件来完成。实现这种转化的媒介是软件与硬件的逻辑等价性。13.(略)

计算机组成原理课后习题答案第五版文件

第五章习 题答案 32 6. (80 * 3 1)* 964字节 8 取指微指令除外,每条机器指令对应三条微指令构成的微程序,因此控制存储 器中共有 80*3+1 条微指令,每条微指令占32 位,即4Byte 8.经分析,(d, i, j )和(e, f, h)为两组相斥性微命令(在全部8 条微指令中,组内任意两 个微命令没有同时出现 ),可将(d, i, j)编 码表示,使用两位二进 制表示三个相斥性微命令,编码00 表示空操作,即三个微命令都不出现 ,(e, f, h)作类似处 理,剩下的a, b, c, g 四个微命令信号可进行直接控制,其整个控制字段组 成如下: * * * * * * * * a b c g 0 1 d 10 i 10 f 11 j 11 h 13. (1) 空间 S 1 2 3 4 5 15 16 WB 1 2 3 4 5 MEM 1 2 3 4 5 EX ID IF 1 2 3 4 5 1 2 3 4 5 ? 时间T1 2 3 4 5 0 t 1 t 2 t 3 t 4 t 5 t 6 t 7 t 8 t 9 t 19 t 20 (2) 流水线的实际吞吐率为 H (k n n 1) (5 20 20 1)* 100* 10 9 8.33* 6 10 条 / 秒Ts nk 20* 5 (3)加速比 S 4.17 Tp (k n 1) 20 5 1 流水线 有k 个过 程段,k=5。 16.(1)写后读R AW (2)读后写WAR (3)写后读和写后写RAW WAW

第六章习题答案1、 8.C 9.B、A、C

10.A 11.D 12.A

计算机组成原理答案

计算机组成原理答案

计算机组成原理(本)模拟题一 计算机组成原理(本)模拟题一 一.填空题(共20分) 1.计算机软件一般分为两大类:一类叫__系统件__,另一类叫__应用软件__。操作系统属于系统__软件__ 类。 2.主存与cache的地址映射有_全相联_、_直接映射_、_组相联_三种方式。 3.DMA 控制器按其_内部_结构,分为_选择_型和_多路_型两种。 4.闪速存储器能提供高性能、低功耗、高可靠性及_瞬时启动_能力,为现有的_存储器_体系结构带来巨大变化,因此作为_固态盘_用于便携式电脑中。 5.主存储器的性能指标主要是_存储容量_、_存储时间_、存储周期和存储器带宽。 6.汉字的_输入码_、_字模码_、_内码_是计算机用于汉字输入、内部处理、输出三种不同用途的编码。 7.RISC指令系统的最大特点是:_指令数少_;_指令长度固定_; _指令格式和寻址方式_

种类少。只有取数/ 存数指令访问存储器。8.存储器和CPU连接时,要完成_DB_的连接;_CB_的连接和_AB_的连接,方能正常工作。9.衡量总线性能的重要指标是_总线带宽_,它定义为总线本身所能达到的最高_传输速度_。PCI总线的带宽可达_264MB/S_。 10.微型机的标准总线从16位的_ISA_总线,发展到32位的_EISA_总线和_VISA_总线,又进一步发展到64位的PCI总线。 二.选择题(共30分) 1.计算机问世至今,新型机器不断推陈出新,不管怎样更新,依然保有“存储程序”的概念,最早提出这种概念的是__B__。 A.巴贝奇B.冯. 诺依曼C.帕斯卡D.贝尔 2.算术/ 逻辑运算单元74181ALU可完成__C__。 A.16种算术运算功能 B.16种逻辑运算功能 C.16种算术运算功能和16种逻辑运算功能D.4位乘法运算和除法运算功能

河南科技学院新科学院计算机组成原理10套试卷整理出资料(白中英第四版)

一、选择题 1 从器件角度看,计算机经历了五代变化。但从系统结构看,至今绝大多数计算机仍属于( B )计算机。(光盘的第一章) A 并行 B 冯·诺依曼 C 智能 D 串行 2 某机字长32位,其中1位表示符号位。若用定点整数表示,则最小负整数为( A )。P16 —(这是答案在书上的页码,下面的一样) A -(231-1) B -(230-1) C -(231+1) D -(230+1) 3 以下有关运算器的描述,( C )是正确的。 A 只做加法运算 B 只做算术运算 C 算术运算与逻辑运算 D 只做逻辑运算 4 EEPROM是指( D )。P83 A 读写存储器 B 只读存储器 C 闪速存储器 D 电擦除可编程只读存储器 5 当前的CPU由( B )组成。P127 A 控制器 B 控制器、运算器、cache C 运算器、主存 D 控制器、ALU、主存 6 在集中式总线仲裁中,( A )方式响应时间最快。P195 A 独立请求 B 计数器定时查询 C 菊花链 7 CPU中跟踪指令后继地址的寄存器是( B C)。P129 A 地址寄存器 B 指令计数器 C 程序计数器 D 指令寄存器 8 从信息流的传输速度来看,( A )系统工作效率最低。P186 A 单总线 B 双总线 C 三总线 D 多总线 9冯·诺依曼机工作的基本方式的特点是( B )。(光盘的第一章) A 多指令流单数据流 B 按地址访问并顺序执行指令 C 堆栈操作 D 存贮器按内容选择地址 10 在机器数(应改为BC )中,零的表示形式是唯一的。P22 A 原码 B 补码 C 移码 D 反码 11在定点二进制运算器中,减法运算一般通过( D )来实现。P27 A 原码运算的二进制减法器 B 补码运算的二进制减法器 C 原码运算的十进制加法器 D 补码运算的二进制加法器 12 某计算机字长32位,其存储容量为256MB,若按单字编址,它的寻址范围是( D )。 A 0—64M B B 0—32MB C 0—32M D 0—64M

相关主题
文本预览
相关文档 最新文档