当前位置:文档之家› 数电试卷(附答案)

数电试卷(附答案)

数电试卷(附答案)
数电试卷(附答案)

《数字电子技术》试卷A

注意事项:1. 考前请将密封线内填写清楚;

2. 所有答案请直接答在试卷上(或答题纸上);

3.考试形式: 闭卷;

题号一二三四总分

得分

评卷人

一. 单项选择题:(在每小题的备选答案中选出一个正确的答案,并将正确答案

题号12345678910

答案

1

A.10000000

B. 000100101000

C.100000000

D.100101000

2.已知函数F的卡诺图如图1-1, 试求其最简与

或表达式

3. 已知函数的反演式为,其

原函数为()。

A. B .

C. D.

4.对于TTL数字集成电路来说,下列说法那个是错误的:

(A)电源电压极性不得接反,其额定值为5V;

(B)不使用的输入端接1;

(C)输入端可串接电阻,但电阻值不应太大;

(D)OC门输出端可以并接。

5.欲将正弦信号转换成与之频率相同的脉冲信号,应用

A.T,触发器

B.施密特触发器

C.A/D转换器

D.移位寄存器

6.下列A/D转换器中转换速度最快的是()。

A.并联比较型

B.双积分型

C.计数型

D.逐次渐近型

7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。

A. 10

B. 11

C. 12

D. 8

8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20

μA。G1输出低电平时输出电流的最大值为I OL(max)=10mA,

输出高电平时最大输出电流为I OH(max)=–0.4mA 。门G1

的扇出系数是()。

A. 1

B. 4

C. 5

D. 10

9.十数制数2006.375转换为二进制数是:

A. 11111010110.011

B. 1101011111.11

C. 11111010110.11

D. 1101011111.011

10. TTL或非门多余输入端的处理是:

A. 悬空

B. 接高电平

C. 接低电平

D.接”1”

二.填空题(每小题2分,共20分)

1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。

2. 写出四种逻辑函数的表示方法:

_______________________________________________________________;

3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑;

4. 把JK触发器改成T触发器的方法是_____________。

5.组合逻辑电路是指电路的输出仅由当前的_____________决定。

6.5个地址输入端译码器,其译码输出信号最多应有_____________个。

7.输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做_____________。8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。9.N个触发器组成的计数器最多可以组成_____________进制的计数器。

8.基本RS触发器的约束条件是_____________。

三.电路分析题(36分)

1.图3-1(a)所示电路, 移位寄存器原来的数据是,数据从Di顺序输入到移位寄存器,试问:

(1) 在图3-1(b)所示输入波形作用下,在T1到T2期间,输出端X、Y的波形?

(2) 该电路的逻辑功能?(12分)

2.图3-2为两个时钟发生器,图中R1=510Ω, R2=10KΩ,C=0.1uF。(12分)

(1)写出JK触发器的状态方程及输出V

1、V

2

的表达式;

(2)画出555定时器的输出V

O 以及V

1

、V

2

的波形;

(3)计算V

1

的周期和脉冲宽度Tw.

555定时器功能表4脚6脚2脚3脚7脚

0 ××0 导通

1 >2/3V CC>1/3V CC0 导通

1 <2/3V CC>1/3V CC不变不变

1 <2/3V CC<1/3V CC 1 截止

1 >2/3V CC<1/3V CC 1 截止

四.电路设计题(24分)

1)试用一片双4选1的数据选择器74LS153和必要的门电路,设计下面逻

辑函数,并在器件图上画出相应的电路图。(10分)双4

输入输出

()()

0 (0)0 0

()

0 (0)0 1

()

0 (0) 1 0

()

0 (0) 1 1

()

1 (1)X X 0 (0)Array

2. 试用JK触发器和门电路设计一个十三进制的计数器, 并检查设计的电路能否自启动。(14

分)

<<数字电子技术>>试卷(2007.1)A(答案)

一. 单项选择题:(在每小题的备选答案中选出一个正确的答案,并将正确答案

题号12345678910

答案B C B B B A C D A C

二.填空题(每小题2分,共20分)

1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将增大。

2.逻辑函数的四种表示方法是真值表、逻辑电路图、逻辑函数式、卡诺图。

3.逻辑电路中,高电平用1表示,低电平用0表示,则称为正逻辑;

4. 把JK触发器改成T触发器的方法是J=K=T。

5.组合逻辑电路是指电路的输出仅由当前的输入决定。

6.5变量输入译码器,其译码输出信号最多应有32个。

7.输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做竞争-冒险现象。8.一片ROM有10根地址线,8根数据输出线,ROM共有8192个存储单元。9.N个触发器组成的计数器最多可以组成2n进制的计数器。

8.基本RS触发器的约束条件是RS=0。

三.电路分析题(36分)

1.图3-1(a)所示电路, 移位寄存器原来的数据是,数据从Di顺序输入到移位寄存器,试问:

在图

3-1(b)所

示输入

波形作

用下,在

T1到T2

期间,输

出端X、

Y的波

形? (12

分)(1)

2.图3-2为两个时钟发生器,图中R1=510Ω, R2=10KΩ,C=0.1uF。(12分)

(1)写出触发器的状态方程及输出V

1、V

2

的方程;

(2)画出555定时器的输出V

O 以及V

1

、V

2

的波形;

(3)计算V

1

的周期和脉冲宽度Tw.

(1)

,

(2)

(3)

四.电路设计题(24分)

1)试用一片双4选1的数据选择器74LS153和必要的门电路,设计下面逻辑函数

,并在器件图上画出相应的电路图。(10分)双4

输入输出

()()

0 (0)0 0

()

0 (0)0 1

()

0 (0) 1 0

()

0 (0) 1 1

()

1 (1)X X 0 (0)

令.

2. 试用JK触发器和门电路设计一个十三进制的计数器, 并检查设计的电路能否自启动。(14分)

解:根据题意,得状态转换图如下:

所以:

能自启动。因为:

数字电路试卷标准答案

标准答案及评分标准 课程名称:数字电路 适用专业(班级): 课程归属:理工学科部 是否可携带(填写计算器、词典等):计算器 开卷、闭卷:闭卷 学科部主任: 出卷人: ―――――――――――――――――――――――――――――――――― 一.选择题(每小题2分,共20分) 1.一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【 C 】 A .4:6 B.1:10 C.4:10 D.2:4 2.若输入变量A 、B 全为1时,输出F=1,则其输入与输出的关系是 【 B 】 A.异或 B.同或 C.或非 D.与或 3.在下列逻辑电路中,不是组合逻辑电路的是 【 D 】 A. 译码器 B. 加法器 C. 编码器 D.寄存器 4.一个8选一的数据选择器,其地址输入(选择控制输入)端的个数是 【 C 】 A.4 B.2 C.3 D.16 5.最小项ABCD 的逻辑相邻最小项是 【 A 】 A. ABCD B. ABCD C. ABCD D. ABCD 6.同步计数器和异步计数器比较,同步计数器的最显著优点是 【 A 】 A .工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP 控制 7.一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【 C 】 A .4:6 B.1:10 C.4:10 D.2:4 8.组合逻辑电路通常由【 】组合而成。 【 B 】 A.触发器 B.门电路 C.计数器 D.锁存器 9.8线—3线优先编码器的输入为I0—I7 ,当优先级别最高的I7有效时,其输出0 12Y Y Y ??的值是 【 C 】 A.111 B.010 C.000 D.101 10.逻辑表达式A +B C = 【 C 】 A.A+B B. A+C C.(A+B )(A+C ) D.B+C

数字电路经典笔试题目汇总

数字电路笔试汇总 2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同 步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電 路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-- 因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用 非同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻 辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存 器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路 共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻) 4、什么是Setup 和Holdup时间?(汉王笔试) 解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信 号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下 一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不 变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不 变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

数字电路精彩试题五套(含问题详解)

《数字电子技术》试卷一 一、 填空(每空1分,共25分) 1、(10110)2=( )10=( )16 (28)10=( )2=( )16 (56)10=( )8421BCD 2、最基本的门电路是: 、 、 。 3、有N 个变量组成的最小项有 个。 4、基本RS 触发器的特征方程为_______ ,约束条件是 __. 5、若存储器的容量是256×4RAM,该RAM 有 ___存储单元,有 字,字长 _____位,地址线 根。 6、用N 位移位寄存器构成的扭环形计数器的模是________. 7、若令JK 触发器的J=K=T 则构成的触发器为_______. 8、如图所示,Y= 。 9、如图所示逻辑电路的输出Y= 。 10、已知Y=D AC BC B A ++,则Y = ,Y/= 。 11、组合逻辑电路的特点是_________、___________;与组合逻辑电路相比,时序逻辑电路的输出不仅仅取决于此刻的_______;还与电路 有关。

二、化简(每小题5分,共20分) 1、公式法化简 ++++ (1)Y=ABC ABC BC BC A =+++ (2)Y ABC A B C 2、用卡诺图法化简下列逻辑函数 =+++ (1)Y BCD BC ACD ABD Y=∑+∑ (2)(1,3,4,9,11,12,14,15)(5,6,7,13) m d 三、设下列各触发器初始状态为0,试画出在CP作用下触发器的输出波形 (10分)

四、用74LS161四位二进制计数器实现十进制计数器(15分) 五、某汽车驾驶员培训班结业考试,有三名评判员,其中A 为主评判员,B 、C 为副评判员,评判时,按照少数服从多数原则,但若主评判员认为合格也可以通过。试用74LS138和与非门实现此功能的逻辑电路。(15分) P Q A Q B Q C Q D C T 74LS161 LD CP A B C D Cr Q A 、Q B 、Q C 、Q D :数据输出端; A 、B 、C 、D :数据输入端; P 、T :计数选通端; r C :异步复位端; CP :时钟控制输入端; D L :同步并置数控制端; C :位输出端;

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

精选-数电试卷和答案

电子线路分析与实践2期末复习辅导 2010年10月 练习题 一、填空题 1.(11011)2 =(________)10 2.8421BCD 码的1000相当于十进制的数值 。 3.格雷码特点是任意两个相邻的代码中有_______位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的_________互换,_________互换,_________互换,就得到F 的反函数F 。 5.二极管的单向导电性是外加正向电压时 ,外加反向电压时 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 和 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 。 10. 输出n 位代码的二进制编码器,一般有 __________个输入信号端。 11.全加器是指能实现两个加数和____________三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 。 14.时序逻辑电路中,按照触发器的状态是否同时发生变化可分为 和 。 15.JK 触发器当J =K =________时,触发器Q n+1=Q n 。 16.用555定时器构成的多谐振荡器,若充放电回路中有电阻、电容,则该多谐振荡器形成的脉冲周期T ___0.7(R1+2R2)C ____。 17.A/D 转换需要经过 采样 、 保持 、 量化 和 编码 四个步骤。 18.根据D/A 转换器分辨率计算方法,4位D/A 转换器的分辨率为 6.7% 。 19.DAC 的转换精度包括 分辨率 和 转换误差 。 20.为使采样输出信号不失真地代表输入模拟信号,采样频率f s 和输入模拟信号的最高频率f i max 的关系是 。 21.在A/D 转换时,将一个时间上连续变化的模拟量转换为时间上离散的模拟量的过程称采样。 22.在A/D 转换中,用二进制码表示指定离散电平的过程称为 量化 。 23.CPLD 的含义是 。 二、选择题 1. 十进制数85转换为二进制数为( ) A .1001011 B .1010011 C .1100101 D .1010101 2. 二进制数11011转换为十进制数为( ) A .32 B .27 C .64 D .128 4. 8421BCD 码110011.001表示十进制为( ) A .33.2 B .51.0125 C .63.2 D .51.2 5.在下列一组数中,与2)111001(相等的数是( ) A .16)34( B .(65)8 C . 10 )57(

数字电路期末模拟考试试题及答案

数字电路期末模拟考试 试题及答案 内部编号:(YUUT-TBBY-MMUT-URRUY-UOOY-DBUYI-0128)

数字电子电路模拟试题-2 一、填空题(共30分) 1. 三极管有NPN和PNP两种类型,当它工作在放大状态时,发射结___ _,集电结______;NPN型三极管的基区是______型半导体,集电区和发射区是______型半导体。 2. 把高电压作为逻辑1,低电平作为逻辑0的赋值方法称作_______ 逻辑赋值。一种电路若在正逻辑赋值时为与非门,则在负逻辑赋值时为________。 3. 四位二进制编码器有____个输入端;____个输出端。 4. 将十进制数287转换成二进制数是________;十六进制数是__ _____。 5. 根据触发器功能的不同,可将触发器分成四种,分别是____触发器、 6. 下图所示电路中, 7. Y 2 二、选择题(共 20分) 1. 当晶体三极管____时处于饱和状态。 A. 发射结和集电结均处于反向偏置 B. 发射结正向偏置,集电结反向偏置 C. 发射结和集电结均处于正向偏置

2. 在下列三个逻辑函数表达式中,____是最小项表达式。 A . B A B A )B ,A (Y += B. C B C B A BC A )C ,B ,A (Y ++= C. C AB ABC B C A C B A ) D ,C ,B ,A (Y +++??= 3.用8421码表示的十进制数45,可以写成__________ A .45 B. [101101]BCD C. [01000101]BCD D. [101101]2 4.采用OC 门主要解决了_____ A .TTL 与非门不能相与的问题 B. TTL 与非门不能线与的问题 C. TTL 与非门不能相或的问题 5.已知某触发的特性表如下(A 、B 为触发器的输入)其输出信号的逻辑表达式为___ A . Q n+1 =A B. n n 1n Q A Q A Q +=+ C. n n 1n Q B Q A Q +=+ 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. BC A C B A C B B A Y 1+?++= 2. Y 2=Σm (0,1,8,9,10,11) 3. Y 3见如下卡诺图

数字电路试题及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = (11110.01 ) 2 = (1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为: 高电平 、 低电平 和 高阻态 。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储 4 位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为 条、数据线为 条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:( C )图。 2.下列几种TTL 电路中,输出端可实现线与功能的电路是(B )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 3.对CMOS 与非门电路,其多余输入端正确的处理方法是(D )。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C)。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C)。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为(D )。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有(C )个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数电复习题(含答案)分解

数 电 复 习 题 选择题: 1.下列四个数中,与十进制数(163)10不相等的是( D ) A 、(A3)16 B 、(10100011)2 C 、(000101100011)8421BCD D 、(203)8 2.N 个变量可以构成多少个最小项( C ) A 、N B 、2N C 、2N D 、2N -1 3.下列功能不是二极管的常用功能的是( C ) A 、检波 B 、开关 C 、放大 D 、整流 4..将十进制数10)18(转换成八进制数是 ( B ) A 、20 B 、22 C 、21 D 、23 5.译码器的输入地址线为4根,那么输出线为多少根( C ) A 、8 B 、12 C 、16 D 、20 6.能把正弦信号转换成矩形脉冲信号的电路是(D ) A 、多谐振荡器 B 、D/A 转换器 C 、JK 触发器 D 、施密特触发器 7.三变量函数()BC A C B A F +=,,的最小项表示中不含下列哪项 ( A ) A 、m2 B 、 m5 C 、m3 D 、 m7 8.用PROM 来实现组合逻辑电路,他的可编程阵列是( B ) A 、与阵列 B 、或阵列 C 、与阵列和或阵列都可以 D 、以上说法都不对 9.A/D 转换器中,转换速度最高的为( A )转换 A 、并联比较型 B 、逐次逼近型 C 、双积分型 D 、计数型 10.关于PAL 器件与或阵列说法正确的是 ( A ) A 、 只有与阵列可编程 B 、 都是可编程的 C 、 只有或阵列可编程 D 、 都是不可编程的 11. 当三态门输出高阻状态时,输出电阻为 ( A ) A 、无穷大 B 、约100欧姆 C 、无穷小 D 、约10欧姆 12为使采样输出信号不失真地代表输入模拟信号,采样频率 f s 和 输入模

数电往年考题

09年 5、电路如图1.2所示,TG 为CMOS 传输门,G 为TTL 与非门,则当C=0时 P= ;当C=1时P= 。 B & TG A C C Ω k 10P G 图1.2 6. 当TTL 门电路的输入端悬空时,应视为 (高电平,低电平,不定)。此时,如用万用表测量其输入端电压,读数约为 (0V ,1.4V ,3.6V )。 六、(4分)写出图6所示TTL 门电路构成的组合电路的输出表达式。 图6 七、(6分)写出图7所示电路的逻辑表达式,列出真值表,说明电路逻辑功能。 A B C 图7 八(8分)将图8(a)所示电路用其他器件实现,要求直接在图上画出连线。 (1) 改用3线/8线译码器74LS138和适当的门实现该逻辑电路,图8(b)为 74LS138符号图; (2) 改用8选一数据选择器实现,图8 (c )为8选一数据选择器逻辑符号。 P 图8(a )

图8(b ) 08年 4.函数式D C AB F ++=,写出其对偶式='F ()A B C D +。 5.由TTL 与非门组成的电路如图1-2所示。设与非门输出高电平U OH =3.6V ,低电平为U OL =0.3V ,电压表内阻为20k Ω/V 。当输入ABC =000,开关S 断开时,用万用表测出U 1= 1.4V ,U 2= 0.3V ;当输入ABC =101,开关S 闭合时,U 1= 0.3V ,U 2= 3.6V 。 A B C 图1-2 6.对CMOS 或非门电路,判断下面结论对错: (1)输入端悬空可能造成逻辑出错; (对) (2)输入端对地接大电阻(如510 k Ω)相当于接高电平1; (错) (3)输入端对地接小电阻(如510 Ω)相当于接低电平0; (对) 7.CMOS 电路如图1-3所示,TG 为CMOS 传输门,G 为TTL 与非门,则C=0, P= 0 ; G G G 1 2A

数电试题5

数电试题五 1.有一数码10010011,作为自然二进制数时,它相当于十进制数 ,作为8421BCD 码时,它相当于十进制数 。 2.三态门电路的输出有 、 和 3种状态。 3.TTL 与非门多余的输入端应接 。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接 电平。 5. 如果对键盘上108个符号进行二进制编码,则至少要 位二进制数码。 6. 典型的TTL 与非门电路使用的电路为电源电压为 V ,其输出高电平为 V ,输出低电平为 V , CMOS 电路的电源电压为 V 。 7.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A2A1A0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为 。 8.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有 根地址线,有 根数据读出线。 9. 两片中规模集成电路10进制计数器串联后,最大计数容量为 位。 10.驱动共阳极七段数码管的译码器的输出电平为 有效。 11.只有一个稳定状态的是 触发器。 12.用0,1两个符号对100个信息进行编码,则至少需要 位。 13.异步时序电路的响应速度要比同步时序电路的响应速度 。 14. PAL 是 可编程,EPROM 是 可编程。 15.GAL 中的OLMC 可组态为专用输入、 、寄存反馈输出等几种工作模式。 16. 已知电路及CP 、A 的波形如图1(a) (b)所示,设触发器的初态均为“0”,试画出输出端B 和C 的波形。

图1 17.试分析如图2所示的组合逻辑电路。(1)写出输出逻辑表达式;(2)化为最简与或式;(3)列出真值表;(4)说明逻辑功能。 图2 18.由同步十进制加法计数器74LS160构成一数字系统如图3所示,假设计数器的初态为0,测得组合逻辑电路的真值表如下所示:(1)画出74LS160的状态转换图;(2)画出整个数字系统的时序图。(3)如果用同步四位二进制加法计数器74LS161代替74LS160,试画出其电路图(要求采用置数法);(4)试用一片二进制译码器74LS138辅助与非门实现该组合逻辑电路功能。

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数电习题及答案

一、 时序逻辑电路与组合逻辑电路不同, 其电路由 组合逻辑电路 和 存储电路(触发器) 两部分组成。 二、描述同步时序电路有三组方程,分别是 驱动方程 、状态方程 和 输 出方程 。 三、时序逻辑电路根据触发器的动作特点不同可分为 同步时序逻辑电路 和 异步时序逻辑电路 两大类。 四、试分析图 T7.5时序电路的逻辑功能,写出电路的驱动方程、状态方程 和输出方程,画出电路的状态转换图和时序图。 解:驱动方程: 00110 1J K J K Q ==== 状态方程: 1001 1 10 10n n Q Q Q Q Q Q Q ++==+ 输出方程: 10Y Q Q = 状态图:功能:同步三进制计数器

五、试用触发器和门电路设计一个同步五进制计数器。 解:采用3个D触发器,用状态000到100构成五进制计数器。 (1)状态转换图 (2)状态真值表 (3)求状态方程

(4)驱动方程 (5)逻辑图(略) [题7.1] 分析图P7.1所示的时序电路的逻辑功能,写出电路驱动方程、状态转移方程和输出方程,画出状态转换图,并说明时序电路是否具有自启动性。 解:触发器的驱动方程 2 0010210 10 21 1 J Q K J Q J Q Q K Q K ====???? ? ? ==??? 触发器的状态方程

1 20 0 1 10 101 1 2 210 n n n Q Q Q Q Q Q Q Q Q Q Q Q + + + = =+ = ? ?? ? ? ?? 输出方程 2 Y Q = 状态转换图如图A7.1所示 所以该电路的功能是:能自启动的五进制加法计数器。 [题7.3] 试分析图P7.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。 解:驱动方程 输出方程 状态方程 状态转换图如图 A7.3所示 01 J X Q =⊕01 K= 10 J X Q =⊕ 1 1 K= 10 () Z X Q Q =⊕? 1 0000010 () n Q J Q K Q X Q Q +=+=⊕ 1 1111101 () n Q J Q K Q X Q Q +=+=⊕?

(完整版)数电试题及答案

通信 071~5 班 20 08 ~20 09 学年 第 二 学期 《数字电子技术基 础》 课试卷 试卷类型: A 卷 一、 单项选择题(每小题2分,共24分) 1、8421BCD 码01101001.01110001转换为十进制数是:( ) A :78.16 B :24.25 C :69.71 D :54.56 2、最简与或式的标准是:( ) A :表达式中乘积项最多,且每个乘积项的变量个数最多 B :表达式中乘积项最少,且每个乘积项的变量个数最多 C :表达式中乘积项最少,且每个乘积项的变量个数最少 D :表达式中乘积项最多,且每个乘积项的变量个数最多 3、用逻辑函数卡诺图化简中,四个相邻项可合并为一项,它能:( ) A :消去1个表现形式不同的变量,保留相同变量 B :消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同变量 表1 D :消去4个表现形式不同的变量,保留相同变量 4、已知真值表如表1所示,则其逻辑表达式为:( ) A :A ⊕B ⊕C B :AB + BC C :AB + BC D :ABC (A+B+C ) 5、函数F(A ,B ,C)=AB+BC+AC 的最小项表达式为:( ) A :F(A,B,C)=∑m (0,2,4) B :F(A,B,C)=∑m (3,5,6,7) C :F(A,B,C)=∑m (0,2,3,4) D :F(A,B,C)=∑m (2,4,6,7) 6、欲将一个移位寄存器中的二进制数乘以(32)10需要( )个移位脉冲。 A :32 B : 10 C :5 D : 6 7、已知74LS138译码器的输入三个使能端(E 1=1,E 2A =E 2B =0)时,地址码A 2A 1A 0=011,则输出Y 7 ~Y 0是:( ) A :11111101 B :10111111 C :11110111 D :11111111 8、要实现n 1n Q Q =+,JK 触发器的J 、K 取值应是:( ) A :J=0,K=0 B :J=0,K=1 C :J=1,K=0 D :J=1,K=1

数电期末试卷及答案(共4套)

XX大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1.逻辑函数Y AB C =+的两种标准形式分别为()、 ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。 (6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM 中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1:

数电考试试卷

四、(10分)如下图所示为由维持—阻塞边沿D 触发器和主从型J-K 触发器组成的电路。试画出触发器输出端Q 1、Q 2的波形(设触发器初始状态均为0)。 四、(10分) )(Q Q Q Q Q K Q J Q )C (Q D Q 12n 12n 12n 2n 12n 2n 11n ↓=+=+=↑==++CP Q P n n n 五、(15分)如下面左图所示为由八选一数据选择器实现的函数F 。 (1)试写出F 的表达式。 (2)用右边的3-8译码器74LS138及若干个与非门实现函数F 。 五、(15分) )4,3,1,0(),,(11m D B A F D B A BD A D B A D B A D A D B D B A BD A B A D C B A D C B A BCD A D C B A C B A C B A F ∑=+++=+=++=++++?+?=即 表达式4分 3分 3分 表达式7分 逻辑图8分

二、化简逻辑函数(5分) Z F A B C D =(,,,)=m d (,,,,,,)(,)3589111314 015+∑∑。 二、卡诺图如下图所示,Z ABC BCD BCD ABC =+++. (5分) 三、用四位同步二进制计数器CT74161、3线-8线译码器CT74138和少量的与非门设计一个函数发生器,使其产生10110101序列信号。(10分) 三、(共10分) 1、因序列长度S=8,可用CT74161设计一个模8计数器,有效状态为Q D Q C Q B Q A =0000~0111。如采用同步预置法,电路如下图(a)所示,如采用反馈清零(异步)法,电路如图(b)所示。(2分) 3、产生10110101序列码的电路如下所示:(5分) 7 5320Y Y Y Y Y ????=2、用译码器CT74138实现组合输出电路,列真值表如左所示: 故得到组合输出为:(3分) Z= ∑m (0,2,3,5,7)=Y 0+Y 2+Y 3+Y 5+Y 7

数电试题(5)答案

《 数字电子技术基础》试卷(5)答案 一、 填空题(每小题2分,共10分) 1. 147, 93 。 2. ='Y ))()(('''''''C B C A AC B A ++++。 3. 10111111 。 4. 三 ; 空穴 。 5. 高阻态 。 6. 3 , 1 。 7. 111011。 二、 选择题(每小题2分,共10分) 1.D 2.C 3.D 4.C 5.D 三.判断题(每题1分,共8分) ( √ )1.OC 门的输出端可并联使用。 ( √ )2.N 进制计数器可以实现N 分频。 ( × )3.组合逻辑电路在任意时刻的输出不仅与该时刻的输入有关,,还与电 路原来的状态有关。 ( × )4.在逻辑电路中三极管即可工作在放大,饱和、截止状态。 ( √ )5.逻辑函数Y=D B C A AB ''++满足一定条件时存在两处竞争—冒险。 ( × )6.寄存器、编码器、译存器、加法器都是组合电路逻辑部件。 ( √ )7.二进制数(101110)B 转换成8421BCD 码为(0100 0110)8421。 ( × )8.逻辑函数Y (ABC )=∑)4,2,0(m 时即:Y (ABC )=)7,6,5,3,1(m ∏。 四、问答题(15分) 1.试说明下列情况下,用万用表测量V I2端得到的电压为多少? 图中的与 非门为74系列的TTL 电路。 (1) V I1悬空 V I2端得到的电压为1.4V; (2) V I1经51Ω电阻接地 V I2端得到的电压为0.3V.

R 1R C V Vo 2.已知下列电路是由CMOS 门电路构成的则其输出各为什么? Y .1=(')(E D C B A ++++) Y 2=( '')()(F E D C B A ++++ ) 3.指出下列用555定时器构成的电路的名称并说明该电路的工作特点及周期T 的求法。 555定时器构成的单稳态触发器; 该电路的工作特点是: a.有稳态和暂稳态两个不同的工作状态。 b.在外界触发脉冲作用下,能从稳态翻转到 暂稳态,但是 经过一定时间以后,又会自动返 回原来的稳态。 c.暂稳态维持时间的长短取决电路本身的参数, 与触发脉冲的宽度和幅度无关。 五.证明及化简(7分) 1.证明等式 : AB AD AB D AB ABD +=++'''' AB AD AB B B AD AB D AB ABD +=++=++'''''')( 2.利用卡诺图化简)01 , 7 , 6 , 5 3(),,,(2,m D C B A Y ∑= 约束条件为 m 0+m 1+m 2+ m 4 +m 8=0 RC RC T W 1.13ln ==

数字电路试题及答案汇编

数字电路试题 一、单项选择题 1、以下代码中为无权码的为 ( ) A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D .2421BCD 码 2、图示逻辑电路的逻辑式为 ( ) A .F=C B A ++ B .F= C B A ++ C .F=C B A D .F=ABC 3、下列关于异或运算的式子中,不正确的是 ( ) A .0A A =⊕ B . 1A A =⊕ C .A 0A =⊕ D .A 1A =⊕ 4、一个n 变量的逻辑函数应该有 个最小项 ( ) A .n B .n 2 C .n 2 D .2 n 5、若编码器中有50个编码对象,则要求输出二进制代码位数为 位。 ( ) A .5 B .6 C .10 D .50 6、在下列逻辑电路中,不是组合逻辑电路的是 。 ( ) A .译码器 B .编码器 C .全加器 D .寄存器 7、欲使JK 触发器按01 =+n Q 工作,可使JK 触发器的输入端 。 ( ) A .1==K J B .Q J =,Q K = C .Q J =,Q K = D .0=J ,1=K 8、同步时序电路和异步时序电路比较,其差异在于两者 。 ( ) A .没有触发器 B .是否有统一的时钟脉冲控制 C .没有稳定状态 D .输出只与内部状态有关 9、8位移位寄存器,串行输入时经 个脉冲后,8位数码全部移入寄存器中。 ( ) A .1 B .2 C .4 D .8 10、555定时器D R 端不用时,应当 。 ( ) A .接高电平 B .接低电平 C .通过F μ01.0的电容接地 D .通过小于Ω500的电阻接地 二、填空题 1、当传送十进制数5时,在8421奇校验码的校验位上值应为 。 2、(35.625)10=( )2=( )8=( )16 3、用反演律求函数D A D C ABC F ++=的反函数(不用化简)=F 。 4、消除竟争冒险的方法有 、 、 等。 5、触发器有 个稳态,存储8位二进制信息要 个触发器。 1 & A B C F 11

(完整版)数电模拟考试题

一、选择题、 1.逻辑函数中A.B.C三个变量中,最小应有个。 A.2 B.4 C.8 D.16 2.当逻辑函数有n个变量时,共有个变量取值组合? A.n B.2n C.n2 D.2n 3.一个8选一数据选择器的数据输入端由个。 A.1 B.2 C.3 D.8 4.对于JK触发器,若J=K,则可完成触发器的逻辑功能: A.RS B.D C.T D.T’ 5.一位8421BCD码计时器至少需要个触发器。 A.3 B.4 C.5 D.10 二判断题、 1.数字电路中用“1”和“0”表示两种状态,二者无大小之分() 2.若两个函数具有相同的真值表,则两个逻辑函数必然相等。() 3.当TTL与非门的输入端悬空时相当于输入为逻辑1。() 4.组合逻辑电路中产生竞争冒险的主要原因是输入信号受到尖峰干扰。() 5.对边沿JK触发器,在CP为高电平期间,当J=K=1时,状态会翻转一次() 三、填空题 1.数/模转换器是将进制数字量转换成信号输出。 2.逻辑函数的常用表示方法、、。 3.对于共阳接法的发光二极管数码显示器,应采用驱动的七段显示译码器。4.制度存储器是用来存放固定不变的二进制数码,在正常工作时,只能存储代码,而不能存储代码,当时去电源后,其信息代码不会。 5.将模拟信号转换为数字信号,需要经过、、、四个过程。 四、用代数法化简函数 Z=AB+ABC 五、用卡诺图法化简下式。 {F(A、B、C、D)=∑m(0、1、3、5、8、9)} (约束条件)AB+AC=0 Y=AC+ABC+A BC

七、试用与非门设计一个三人表决电路(输入只提供原变量) 八、分析时序电路的逻辑功能,写出电路的曲弓方程和输出方程,画出状态转换图和时序图。 九、如下图所示维持阻塞D触发器,设初态为0,根据CP脉冲及A输入波形画出Q波形。 十、试分析下图所示电路画出它的状态图,说明它是几进制计数器

数电试题及答案

数电试题及答案

通信071~5 班20 08 ~20 09 学年第二学期《数字电子技术基础》课试卷试卷类型: A 卷 题号一二三四五六七八九 总 成 绩 得 分 一、单项选择题(每小题2分,共24分) 1、8421BCD码01101001.01110001转换为十进制数是:() A:78.16 B:24.25 C:69.71 D:54.56 2、最简与或式的标准是:() A:表达式中乘积项最多,且每个乘积项的变量个数最多B:表达式中乘积项最少,且每个乘积项的变量个数最多 C:表达式中乘积项最少,且每个乘积项的变量个数最少D:表达式中乘积项最多,且每个乘积项的变量个数最多 3、用逻辑函数卡诺图化简中,四个相邻项可合并为一项,它能:()

A:消去1个表现形式不同的变量,保留相同变量 B:消去2个表现形式不同的变量,保留相同变量 C:消去3个表现形式不同的变量,保留相同变量表1 D:消去4个表现形式不同的变量,保留相同变量

4、已知真值表如表1所示,则其逻辑表达式为:( ) A :A ⊕ B ⊕ C B :AB + BC C :AB + BC D :ABC (A+B+C ) 5、函数F(A ,B ,C)=AB+BC+AC 的最小项表达式为:( ) A :F(A,B,C)=∑m (0,2,4) B :F(A,B,C)=∑m (3,5,6,7) C :F(A,B,C)=∑m (0,2,3,4) D :F(A,B,C)=∑m (2,4,6,7) 6、欲将一个移位寄存器中的二进制数乘以(32)10需要 ( )个移位脉冲。 A :32 B : 10 C :5 D : 6 7、已知74LS138译码器的输入三个使能端(E 1=1,E 2A =E 2B =0)时,地址码A 2A 1A 0=011, A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 0 1 1 1 1

相关主题
文本预览
相关文档 最新文档