当前位置:文档之家› 数字逻辑》(白中英)(第六版)习题解答

数字逻辑》(白中英)(第六版)习题解答

数字逻辑》(白中英)(第六版)习题解答
数字逻辑》(白中英)(第六版)习题解答

《数字逻辑》(白中英)(第六版)

习题解答

第1章开关理论基础

1、将下列十进制数化为二进制数和八进制数:

十进制二进制八进制

49 110001 61

53 110101 65

127 1111111 177

635 11 1173

2、将下列二进制数转换成十进制数和八进制数:

二进制十进制八进制

1010 10 12

111101 61 75

1011100 92 134

101111 47 57

01101 13 15

3、将下列十进制数转换成8421BCD码:

1997=0001 1001 1001 0111

=0110 0001 0010

= 0100 0001 0110

= 0100 0111 0101

4、一个电路有三个输入端A、B、C,当其中有两个输入端为高电平时,输出X

为高电平,试列出真值表,并写出X 的逻辑表达式。 [解]: 先列出真值表,然后写出X 的逻辑表达式

C AB C B A BC A X ++=

5、求下列函数的值:

当A,B,C 为0,1,0时: BC B A +=1

))((C B A C B A ++++=1 B C A B A )(+=1

当A,B,C 为1,1,0时: BC B A +=0

))((C B A C B A ++++=1 B C A B A )(+=1

当A,B,C 为1,0,1时: BC B A +=0

))((C B A C B A ++++=1 B C A B A )(+=0

6、用真值表证明恒等式 C B A C B A ⊕⊕=⊕⊕ 成立。 证明:

所以由真值表得证。

7、证明下列等式

(1)B A B A A +=+

证明:左边=B A A +

=B A B B A ++)(

=B A AB B A ++

=B A AB AB B A +++ =B A A B B A )()(+++ =B A + =右边

(2)BC AB C AB C B A ABC +=++

证明:左边= C AB C B A ABC ++

= ABC C AB C B A ABC +++ =)()(C C AB B B AC +++ =AB AC + =右边 (3)E CD A E D C CD A C B A A ++=++++)( 证明:左边=E D C CD A C B A A )(++++ =A+CD+A+E =A+CD+E =A+CD+E =右边

(4) C B A C B A B A ++=C B C A B A ++ 证明:左边=C B A C B A B A ++

=C B A C AB C B A B A +++)( =C B C A B A ++=右边

8、用布尔代数简化下列逻辑函数 (1)B C CB C B A ABC A F ++++= B C CB C B A ABC A ++++=)( B C CB A ++= C B A ⊕+=

(2)C B A D A B A D C AB CD B A F ++++= )D A D C AB ()C B A B A CD B A (++++= D A B A +=

(3)C B ABCD D BC ABD D ABC F ++++=

C B

D BC ABD ABC +++= C B D B ABD ABC +++= )(C D AD AC B +++= )(D A C A B +++= D B C B AB ++= (4)C AB C B BC A AC F +++= C AB C B )BC A AC (??+= )C B A )(C B )(BC AC (++++= )C B A )(BC ABC (+++= )BC ABC BC A (++= BC =

10、用卡诺图化简下列各式 (1)C AB C B BC A AC F +++=

C F =

说明:卡诺图中标有0的格子代表C B BC A AC F 1++=,1F 则是标有0之外的其

余格子。

(2)C B A D A B A D C AB CD B A F ++++=

D A B A F +=

(3)F(A,B,C,D)=∑m(0,1,2,5,6,7,8,9,13,14)

11 1 1 10

1

1

D BC D C A BC A D C C B F ++++=

(4)F(A,B,C,D)=∑m(0, 13,14,15)+∑φ(1,2,3,9,10,11)

CD

AB 00

01

11

10

00 1 φ φ φ 01 11 1 1 1 10

φ

φ

φ

AC AD B A F ++=

11、用与非门实现下列函数,并画出逻辑图。 (1)C B A C AB F +=

C A C A )B B (C A ==+=

(2))D C )(B A (F ++=

D C B A +++= D C B A += D C B A += D C B A ?=

12、画出F 1和F 2的波形图

B A B A B A F 1⊕=+=

C F F 12⊕=

A B C F 1

F 2

第2章 组合逻辑

1、分析图所示的逻辑电路。

1)B A B A B AB B AB F =+=+=+= 2)B A F =1

B AB

C F =2 C ABC F =3

)(321321C B ABC B A C ABC B ABC B A F F F F F F F ++=++=++=??= ))((C B C B A B A ++++=

)(C C C B C B B B C A B A B A ++++++= C B C B C A B A +++=

4、分析所示逻辑电路图的功能。 1)用逐级电平推导法:

F=0 F i =0 ? i A =1 A i =0 2)列写布尔代数法:

43214321F F F F F F F F F ???=+++= 32101A A A A F = 76542A A A A F = 1110983A A A A F = 151413121A A A A F =

15141312111098765432104321A A A A A A A A A A A A A A A A F F F F F =???= 可见,当A 0~A 15均为0时,F=1。

5、分析图所示的逻辑电路。

301201101001X A A X A A X A A X A A F +++=

显然,这是一个四选一数据选择器,其中A 1、A 0为选择控制输入: A 1A 0=00时,F=X 0 A 1A 0=01时,F=X 1 A 1A 0=10时,F=X 2 A 1A 0=11时,F=X 3

6、图为两种十进制代码转换器,输入为余三码,分析输出是什么代码 1)逻辑表达式:

)(B CD A AB ACD AB ACD W +=+=?=

)(D C B BCD D B C B BCD D B C B BCD X ++=++=??= CD B CD B BCD ⊕=?+= D C D C D C D C D C Y ⊕=+=?= D Z = 2)真值表:

由真值表可知,该电路为余三码到8421BCD 码转换电路。 7、分析图所示代码转换电路的功能。 1)逻辑表达式: 33X Y = 232X X Y ⊕=

122121)()(X Y M MX X MX M Y Y ⊕+=⊕?= 0111100)()(X Y M MX Y M MX X Y ⊕+=?⊕= 当M=1时: 33X Y = 232X X Y ⊕= 121X X Y ⊕= 010X X Y ⊕= 当M=0时: 33X Y = 232X X Y ⊕= 1231X X X Y ⊕⊕= 01230X X X X Y ⊕⊕⊕=

2)真值表

M=1时的真值表 M=0时的真值表

8421码 循环码 循环码 8421码

8、已知输入信号A, B, C, D 信号的波形如图所示,设计产生输出F 波形的组合逻辑电路。

1)真值简表(只列出F=1的情况)

2)逻辑表达式

F=∑m (1,3,4,5,8,9,10,11,12)

C B A

D C B D B B A F +++=

3)逻辑电路图(略)

9、【解】

1)真值表(输入“1”表示不正常,输出“1”表示亮)

2)逻辑表达式

C B A ABC C B A C B A C B A F R ⊕⊕=+++=

BC AC AB ABC C AB C B A BC A F Y ++=+++=

C B A F G =

3)逻辑电路图(略) 19、【解】

1)真值表(输入“1”表示按下,输出F=表示开锁,G=1表示报警)

2)逻辑表达式

AC AB ABC C AB C B A F +=++=

C A B A BC A C B A C B A G +=++=

3)逻辑电路图(略)

第3章 时序逻辑

7.【解】 1)激励方程

23Q J = 12Q J = 21Q J = 23Q K = 12Q K = 31Q K = 2)状态转移表

3)状态转移图(简图)

由状态转移表可知,电路只形成一个封闭的循环,因此能够自启动。 101010┐

000001011111110100 ┐

└──────────┘

8.【解】 1)状态方程

n n Q D Q 2213==+ n n Q D Q 1112==+ n

n n Q Q D Q 2

3111==+ 2)状态转移表

3)状态转移图(简图)

111┐ ┌101010 000001011110100┐

│ └──────────┘

9.【解】 1)状态编码

采用常规的计数器法,须3个触发器。 2)状态转移表

计数器有6个状态,状态010和110未使用,可令这2个状态的次态为已使用的6个状态之一。

3)激励方程

12131231231233Q Q Q Q Q Q Q Q Q Q Q Q Q D +=++=

131231232Q Q Q Q Q Q Q Q D =+=

12231231231231231Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q D ++=+++= 4)电路图(略)

13.【解】 1)输出方程 21Q Q Z = 2)激励方程

x Q J ⊕=12 11=J x Q K ⊕=12 11=K 3)状态转移表

4)状态转移图(简图) x=0时,为加法计数器 x=1时,为减法计数器

16.【解】

1)由波形图可知,电路有7个状态。 2)状态表

3)状态转移表

状态000没有在波形图中出现,为了让电路能够自启动,可令上述7个状态中任意一个作为状态000的次态。

4)激励函数(下边表达式中的φ为最小项000) D 3=∑(3,7,6,2) + φ = 2313Q Q Q Q + D 2=∑(3,7,4,1) + φ = 121213Q Q Q Q Q Q ++ D 1=∑(3,2,5,1) + φ = 123Q Q Q +

在利用卡诺图化简中,D 2和D 1使用了任意项“000”,故状态000的次态为

011。

5)电路图(略)

19.【解】 1)状态编码

时序机有4个状态,用2个D 触发器表示,并设S 0=00,S 1=01,S 2=10,S 3=11。 2)状态转移表

3)激励函数

21212121212121

2

2Q k Q Q Q Q k Q Q k Q Q k Q kQ Q Q k Q D n ++=+++==+ 121212*********Q k Q k Q Q k Q Q k Q Q k Q Q k Q Q k Q D n ++=+++==+ 4)逻辑电路图(略)

数字逻辑(第六版 白中英)课后习题答案

第七章 A/D 转换与D/A 转换 1 ADC :模/数转换器analogue digital converter ; DAC :数/模转换器 digital analogue converter ; DSP :数字信号处理器 digital signal processor 。 2. 3. 4

答:二进制编码0011来自ADC 输出,它通过丢失台阶来指示。可能情况下,转换器的输出“3”被粘住在不活动的状态(低电平)。 5. 由电路图知,R 0是输入二进制吗最低位对应的权电阻,所以有 V V K K V K R R K R D V R R V K R R K R R K R R o f i i i REF f o 0625.4)212121(2 5105代入得: ,10,5其中,22,108/,204/,402/023333 03030201-=?+?+??ΩΩ-=Ω==Ω=??-=Ω==Ω==Ω==∑= 6. (1)8为D/A 转换器的最小输出电压增量,即是数字量00000001对应的模拟电压量,或数字量每增加一个单位,输出模拟电压的增加量。输入代码01001101对应的模拟电压为: Vo =0.02(26+23+22+20)=1.54 V (2)8位转换器的分辨率百分数为: %3922.0%100121 8 =?- (3)若要求D/A 转换器的精度小于0.25%,则其分辨率应小于0.5%,因此,这一8位D/A 转换器可满足系统的精度要求。 7. (1)仅最高位接通时,R 10提供的电流为 mA V I 11010103 10=Ω?= 由于最高位电阻的容差所造成的电流误差为: A mA μ5.0%)05.0(1±=±? (2)首先求最低位电阻的阻值 Ω=-?=M R R 12.51210101 仅最低位接通时,R1提供的电流为 A V I μ953.11012.5106 1=Ω?= 最低位造成的电流误差为: A A μμ009765.0%)5(953.1±=±? 对于权电阻网络的D/A 转换器,数字量的位数越多,高低位权电阻的阻值相差越大;相同容 差下,由于各电阻所在位的权值不同,所引入的误差相差也越大。

数字设计原理与实践(第四版)课后各章节习题答案

3.11 对图X3.11(a)所示的AOI 电路图,采用AND,OR,INV 画出对应的逻辑图。 解:Z = (A?B + C + D)' 3.12 对图X3.11(b)所示的OAI 电路图,采用AND,OR,INV 画出对应的逻辑图。 解:Z = ((A + B)?C ?D)' 13 画出NOR3 对应的电路图。 解:3 输入端或非门结构应为:上部3 个P 管串联,下部3 个N 管并 联,结构如图所示。 3.15 画出OR2 所对应的电路图。 解:在NOR2 电路的输出端后面级联一个INV。 3.59 画出图X3.59 逻辑图所对应的电路图。 解: 3.21 若输出低电平阈值和高电平阈值分别设置为1.5V 和3.5V,对图X3.21 所示的反相器特性,确定高态与低态的DC 噪声容限。解:由图中可以看到,输出3.5V 对应的输入为2.4V,输出1.5V 对应的输入为2.5V;所以,高态噪声容限为:3.5-2.5=1 V ;低态噪声

容限为:2.4-1.5=0.9 V。 3.26 利用表3-3 计算74HC00 的p 通道和n 通道的导通电阻。解:采用极端值计算(对商用芯片,最低电源电压设为 4.75V)表中所列输出电压与电流关系如图所示: 根据电流定律,高态输出时可以建立下列方程: p n R R 0.35 0.02 = 4.4 ? ? ? ? ?? ? ? ? p n R R 0.91 4 = 3.84 ? ? ? ? ?? ? ? ? 联立求解可得:R = 0.151kΩ = 151Ωp 低态输出时可以建立下列方程: n p R R 0.1 0.02 = 4.65 ? ?? ? ??? ?

数字逻辑期末复习题

一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为 ___C_____。 A . D C B A F +++= B . D C B A F +++=

C . D C B A F = D .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 Q 的频率为_____D_____。 . 100KHz D .50KHz 9.下列器件中,属于时序部件的是_____A_____。 A . 计数器 B . 译码器 C . 加法器 D .多路选择器 10.下图是共阴极七段LED 数码管显示译码器框图,若要显示字符“5”,则译码器输出a ~g 应为____C______。 A . 0100100 B .1100011 C . 1011011 D .0011011

《数字逻辑》(白中英)(第六版)习题解答

《数字逻辑》(白中英)(第六版) 习题解答 第1章开关理论基础 1、将下列十进制数化为二进制数和八进制数: 十进制二进制八进制 49 110001 61 53 110101 65 127 1111111 177 635 1001111011 1173 7.493 111.011111100 7.374 79.43 1001111.0110110 117.33 2、将下列二进制数转换成十进制数和八进制数: 二进制十进制八进制 1010 10 12 111101 61 75 1011100 92 134 0.10011 0.59375 0.46 101111 47 57 01101 13 15 3、将下列十进制数转换成8421BCD码: 1997=0001 1001 1001 0111 65.312=0110 0101.0011 0001 0010 3.1416=0011.0001 0100 0001 0110 0.9475=0.1001 0100 0111 0101 4、一个电路有三个输入端A、B、C,当其中有两个输入端为高电平时,输出X

为高电平,试列出真值表,并写出X 的逻辑表达式。 [解]: 先列出真值表,然后写出X 的逻辑表达式 C AB C B A BC A X ++= 5、求下列函数的值: 当A,B,C 为0,1,0时: BC B A +=1 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,1,0时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,0,1时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=0 6、用真值表证明恒等式 C B A C B A ⊕⊕=⊕⊕ 成立。 证明: 所以由真值表得证。

数字逻辑_习题四_答案

习题四部分习题参考答案 4.1 将下列函数简化,并用与非门和或非门画出逻辑电路图。& (3)C B C A D C A B A D C B A F +++=),,,( 解:化简得F=C B C A B A ++ F 的与非式为:F=C B C A B A ?? ,逻辑电路图如图1所示。 F 的或非式为:F=C B A C B A C B A ABC F +++++=+=,逻辑电路 图如图2所示。 图1 图2 4.3分析图4.59所示的逻辑电路图,并说明其逻辑功能。 解:(1)由逻辑电路图写出逻辑表达式并化简可得: D C D B D C D B F D BC D C B D C A D BC D C B D C A F CD ABD CD ABD F +=?=++=??=+=?=012 (2)根据逻辑表达式,其逻辑功能如表所示。 1 C 1 & 1 & & & & & & A B ≥1 0 ≥1 ≥1 ≥1 A C B ≥1 ≥1 F

由真值表可知,DCBA 表示的二进制数,当该值小于等于5,F0=1,当当该值小于等于10,大于5,F1=1,当该值小于等于15,大于10,F2=1。 4.4 试分析图4.60 所示的码制转换电路的工作原理 答:①写出逻辑表达式 001G B B =⊕ 112G B B =⊕ 223G B B =⊕ 33G B = D C B A F2 F1 F0 输 入 输 出 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 1 0 0 0 1 0 0 1 1 0 0 1 0 1 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 0 0 1 0 0 1 1 1 0 1 0 1 0 0 0 0 1 0 1 0 0 1 0 1 0 1 0 1 0 0 1 0 1 0 1 1 1 0 0 1 1 0 0 1 0 0 1 1 0 1 1 0 0 1 1 1 0 1 0 0 1 1 1 1 1 0 0

数字逻辑自测题2

1 : (110.1)2的等值十六进制数是()(2分) A:110.1 B:15. 5 C:6. 8 D:2. 1 您选择的答案: 正确答案: C 知识点:把每四位二进制数分为一组,用等值的十六进制数表示。 ---------------------------------------------------------------------------- 2 : 两输入的与门在下列()时可能产生竞争—冒险现象(2分) A:一个输入端为0,另一个端为1 B:一个输入端发生变化,另一个端不变 C:两个不相等的输入端同时向相反的逻辑电平跳变 D:两个相等的输入端同时向相反的逻辑电平跳变 您选择的答案: 正确答案: C 知识点:门电路两个输入信号同时向相反的逻辑电平跳变的现象称为竞争 ---------------------------------------------------------------------------- 3 : 电路如下图所示,设起始状态Q2Q1=00,第3个上升沿,Q2Q1变为( ) (5分) A:00 B:01 C:10 D:11 您选择的答案: 正确答案: D 知识点:参考T触发器的特性表 ---------------------------------------------------------------------------- 4 : 逻辑函数Y(A, B, C, D)=∑m(0,2,4,6,9,13) + d(1,3,5,7,11,15)的最简与或式为()(5分) A:AD+A’D’ B:A’+D C: A+D D:A’C+AD

数字逻辑 习题与答案.(优选)

习题与答案 《数字逻辑与数字系统(第四版)》,白中英 第1章习题P30 7 证明下列等式 (2) AC AB C AB C B A ABC +=++ 证明: AB AC ABC C AB C B A ABC +=+++=左式 8 用布尔代数简化下列各逻辑函数表达式 (4) C AB C B BC A AC F +++= 解: BC BC BC A C B A BC C B A BC A ABC C B A C B BC A AC F =+=++=+++=++++=) () )(())()(( 9 将下列函数展开为最小项表达式 (1) )(),,(C B A C B A F += 解: ∑=+++++=+++++=+++=+++=+=() 7,6,5,4,1()()()()(),,(m C B A C B A C B A C B A C AB ABC C B A C B A C C B A C C AB C B A C B A B A AB C B A A B B A C B A C B A F 10 用卡诺图化简下列各式 (2) C B A D A B A D C AB CD B A F ++++= 解: C 由卡诺图知,D A B A F +=

(4) ∑∑ +=?)11,10,9,3,2,1()15,14,13,0(),,,(m D C B A F 解: A C AD AC B A F ++= 12 逻辑函数 A C C B B A X ++=,试用真值表、卡诺图、逻辑图、波形图表示该函数。 解:(1)真值表 (2)卡诺图 (3)逻辑图 (4)波形图 14 输入信号A ,B ,C 的波形如图P1.2所示,试画出电路输出F1、F2的波形图 B F C B A

《数字逻辑》(白中英)(第六版)习题解答教学提纲

《数字逻辑》(白中英)(第六版)习题解 答

《数字逻辑》(白中英)(第六版) 习题解答 第1章开关理论基础 1、将下列十进制数化为二进制数和八进制数: 十进制二进制八进制 49 110001 61 53 110101 65 127 1111111 177 635 1001111011 1173 7.493 111.011111100 7.374 79.43 1001111.0110110 117.33 2、将下列二进制数转换成十进制数和八进制数: 二进制十进制八进制 1010 10 12 111101 61 75 1011100 92 134 0.10011 0.59375 0.46 101111 47 57 01101 13 15 3、将下列十进制数转换成8421BCD码: 1997=0001 1001 1001 0111 65.312=0110 0101.0011 0001 0010 3.1416=0011.0001 0100 0001 0110

0.9475=0.1001 0100 0111 0101 4、一个电路有三个输入端A 、B 、C ,当其中有两个输入端为高电平时,输出X 为高电平,试列出真值表,并写出X 的逻辑表达式。 [解]: 先列出真值表,然后写出X 的逻辑表达式 C AB C B A BC A X ++= 5、求下列函数的值: 当A,B,C 为0,1,0时: BC B A +=1 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,1,0时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,0,1时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=0 6、用真值表证明恒等式 C B A C B A ⊕⊕=⊕⊕ 成立。 证明:

数字逻辑考题及答案

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 1 1 1 1 0 1 0 1 1 1 1 1 8分 2、分析以下电路,其中X 为控制端,说明电路功能。(10分)

数字逻辑武汉工程大学第四版

武汉工程大学数学逻辑答案 第3章 组合逻辑电路 3.1解题指导 例3-1 试写出图3-1所示电路输出F 的表达式。74148为优先编码器。其功能见表3-1所示。 表3-1 74148的真值表 解:图3-1中电路的74148的70~I I 虽然都接地,但只对7I 编码,74151的A 2A 1A 0等于74148的012A A A 等于000,使F =D 0=A 。 例3-2 试分析图3-2所示电路的逻辑功能。 图3-1 例3-1逻辑图 B A 0 5图3-2 例3-2 的逻辑图

解:题示电路中74138的A 2=0,使74138变成2线-4线译码器。AB =00时,00=F 1321===F F F 。 若此时CD =00,则F =D 0=0;而CD ≠00时,F ≠D 0,F =1。故该电路的功能为AB =CD 时,输出F =0,AB ≠CD 时,F =1。 例3-3人类有四种基本血型—A 、B 、AB 、O 型。输血者与受血者的血型必须符合下述原则:O 型血可以输给任意血型的人,但O 型血只能接受O 型血;AB 型血只能输给AB 型,但AB 型能接受所有血型;A 型血能输给A 型和AB 型,但只能接受A 型或O 型血;B 型血能输给B 型和AB 型,但只能接受B 型或O 型血。试用与非门设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路。如果输血者与受血者的血型符合规定电路输出“1”(提示:电路只需要四个输入端。它们组成一组二进制代码,每组代码代表一对输血—受血的血型对)。 解:用变量A 、B 、C 、D 表示输血者、受血者的血型对作为输入变量,用F 表示血型是否符合作为输出变量。得到血型与二进制数间的对应关系,从而得到真值表如表3-2所示。 血型与二进制数对应关系 表 由真值表画出卡诺图如图3-3所示。 由卡诺图得表达式如下:C B CD D A B A C B CD D A B A F ???=+++= 由表达式画出逻辑图如图3-4所示。 B O A B

数字逻辑试题及答案

期数字逻辑试题A 一、填空题(共15分,每空1分) 1、分别写出逻辑函数的五种表示方法()、()、()、()、()。2、数字逻辑电路的两大种类分别是()电路,()电路。 3、( )和( )是衡量A/D转换器D/A转换器性能优劣的主要指标。 4、消除竞争冒险的4种方法分别是()、()、()、()。 5、555定时器电路提供了一个复位电平为()和置位电平为()。 二、选择题(共10分,每题5分) 1、将(175)10十进制数转换为二进制数下列选择结果中正确的是()。 A、二进制数(11010111)2 B、二进制数(10110011)2 C、二进制数(10011010)2 D、二进制数(1010111 1)2 2、运用摩根定理变换Y=X Q Z 的表达式,下列选择中正确的是()。 A、Y=( X + Q + Z ) B、Y=(X + Q +Z) C、Y=(X + Q + Z) D、Y=( X + Q + Z ) 三、综合题(共20分,每题10分) 1、对如图电路分别写出E = 0 或E = 1 时,函数F 的逻辑表达式。 2、用图形法化简函数为最简与或式 F(A,B,C,D)=∑M(0,1,2,3,6,8)+∑D(10,11,12,13,14,15) 四、组合逻辑电路分析、设计题(共25分,1题10分,2题15分) 1、写出下图电路(电路由四个或非门组成)输出信号的逻辑表达式,并说明其功能。 F

2、用集成译码器74LS138实现下列组合逻辑函数 Z1=AB+AB+BC Z2=AB+BC+CA 30分,每题15分) 1、一个时序逻辑电路如下图所示(由两个D触发器组成),请画出其状态图。 CP 2、用JK触发器设计一个同步、上升沿触发的四进制计数器。状态图如下 /0 /0 /0 /1

数字逻辑(第六版 白中英)课后习题

第四章习题答案1.设计4个寄存器堆。 解: 寄存器组 2. 设计具有4个寄存器的队列。 解: 输入数据输出数据 3.设计具有4个寄存器的堆栈 解:可用具有左移、右移的移位寄存器构成堆栈。

栈顶 SR 1 SR 2 SR 3 输入数据 输出数据 压入弹出 4.SRAM 、DRAM 的区别 解:DRAM 表示动态随机存取存储器,其基本存储单元是一个晶体管和一个电容器,是一种以电荷形式进行存储的半导体存储器,充满电荷的电容器代表逻辑“1”,“空”的电容器代表逻辑“0”。数据存储在电容器中,电容存储的电荷一般是会慢慢泄漏的,因此内存需要不时地刷新。电容需要电流进行充电,而电流充电的过程也是需要一定时间的,一般是0.2-0.18微秒(由于内存工作环境所限制,不可能无限制的提高电流的强度),在这个充电的过程中内存是不能被访问的。DRAM 拥有更高的密度,常常用于PC 中的主存储器。 SRAM 是静态的,存储单元由4个晶体管和两个电阻器构成,只要供电它就会保持一个值,没有刷新周期,因此SRAM 比DRAM 要快。SRAM 常常用于高速缓冲存储器,因为它有更高的速率; 5. 为什么DRAM 采用行选通和列选通 解:DRAM 存储器读/写周期时,在行选通信号RAS 有效下输入行地址,在列选通信号CAS 有效下输入列地址。如果是读周期,此位组内容被读出;如果是写周期,将总线上数据写入此位组。由于DRAM 需要不断刷新,最常用的是“只有行地址有效”的方法,按照这种方法,刷新时,是在RAS 有效下输入刷新地址,存储体的列地址无效,一次选中存储体中的一行进行刷新。每当一个行地址信号RAS 有效选中某一行时,该行的所有存储体单元进行刷新。 6. 用ROM 实现二进制码到余3码转换 解: 真值表如下: 8421码 余三码 B 3B 2 B 1 G 3G 2G

数字逻辑第二章作业答案

第二章部分习题答案:(第四版) 2.1 (e) 10100.11012 =14.D16(f) F3A516 =11110011101001012 (i) 101111.01112 =57.348 2.2 (a) 12348 =0010100111002 =29C16 (e) 7436.118=111100011110.0010012=F1E.2416 2.3 (b) 7E6A16=1111110011010102=771528 (f) DEAD.BEEF16=1101111010101101.10111110111011112=157255.5756748 2.5 (e) 10100.11012=20.812510 (j) 15C.3816 =348.2187510 2.6 (b) 348910=66418 (f) 2385110=5D2B16 2.9(b) 57734 + 1066 61022 2.10(c) F35B + 27E6 11B41 2.11 decimal +25 +120 +82 -42 -6 -111 signed-magnitude 00011001 01111000 01010010 10101010 10000110 11101111 two’s-complement 00011001 01111000 01010010 11010110 11111010 10010001 ones’-complement 00011001 01111000 01010010 11010101 11111001 10010000 2.12 (b) 10011110, overflow not occurs (c) 10001110, overflow occurs (d) 10000000, overflow occurs 2.16 1264843010=COFFEE16 2.18 ( a) r>6; (b)r=8; (c) r>3; (d) r=5; (e) r=4; (f)r=6;

数字逻辑自测题5

数字逻辑自测题5

1 : VOL表示什么含义(2分) A:输出低电平 B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案: A 知识点:O即output,表示输出;L即low,表示低电平 ---------------------------------------------------------------------------- 2 : 下列说法不正确的是()(2分) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 您选择的答案: 正确答案: D 知识点:一个逻辑函数的最简与或式形式不是唯一的 ---------------------------------------------------------------------------- 3 : 二—十进制译码器输入为()(2分) A:BCD代码 B:三位二进制数 C:十进制数 D:二十进制数 您选择的答案: 正确答案: A 知识点:二—十进制译码器的逻辑功能是将输入BCD码的10个代码译成10个高、低电平输出信号---------------------------------------------------------------------------- 4 : 触发器的状态转换图如下,则它是:()(5分) A:T触发器 B:SR触发器 C:JK触发器 D:D触发器 您选择的答案: 正确答案: A 知识点:见T触发器状态转换图

白中英版 数字逻辑 第二章答案

第二章 组合逻辑 1. 分析图中所示的逻辑电路,写出表达式并进行化简 2. 分析下图所示逻辑电路,其中S3、S2、S1、S0为控制输入端,列出真值表,说明 F 与 A 、B 的关系。 F1= F2= F=F 1F 2= B F = AB + B = AB F = AB BABC CABC = AB + AC + BC + BC = AB + BC + BC 1 S B BS A ++3 2 S B A ABS +1 S B BS A ++

3. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能。 解: F1== 真值表如下: 当B ≠C 时, F1=A 当B=C=1时, F1=A 当B=C=0时, F1=0 F2= 真值表如下: C B BC A C AB C B A +++ABC C B A C B A ++A B C F 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 001101 00AC BC AB C A C B B A ++=++

当A 、B 、C 三个变量中有两个及两个以上同时为“1”时,F2 = 1 。 4.图所示为数据总线上的一种判零电路,写出F 的逻辑表达式,说明该电路的逻辑功能。 解:F= 只有当变量A0~A15全为0时,F = 1;否则,F = 0。 因此,电路的功能是判断变量是否全部为逻辑“0”。 5. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能 解: 真值表如下: 因此,这是一个四选一的选择器。 6. 下图所示为两种十进制数代码转换器,输入为余三码,输出为什么代码? 解: A B C F 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 000011 111514131211109876543210A A A A A A A A A A A A A A A A +++301201101001X A A X A A X A A X A A F +++=

数字逻辑期末复习题

一、选择题(每小题2 分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为___C_____。 A . D C B A F +++= B . D C B A F +++= C . D C B A F = D .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 _____D_____。 _____A_____。 C . 加法器 5”,则译码器输出a ~g 应为 ____C______。 A . 0100100 B .1100011 C . 1011011 D .0011011 分) 1对应的电压范围是___N 2____个输出端。 、_T_等四种类型。 16.对于D 触发器,若现态Q n = 0,要使次态Q n+1 =0,则输入D=__0_____。 17.请写出描述触发器逻辑功能的几种方式___特性表、特性方程、状态图、波形图_________。 18.多个集电极开路门(OC 门)的输出端可以 _____线与_______。 19.T 触发器的特性方程是___n n Q T Q ⊕=+1_____,当T=1时,特性方程为___n n Q Q =+1_____,这时触发器可以用来作___2分频器_____。 20.构造一个十进制的异步加法计数器,需要多少个 __4____触发器。计数器的进位Cy 的频率与计数器时钟脉冲CP 的频率之间的关系是____1﹕10_________。

数字逻辑 白中英 第六版 答案

第六章习题答案 1现有D触发器组成的三个n位寄存器,需要连接起来传送数据。当控制信号S a有效时,执行(Ra)→Rc的操作;当控制信号S b有效时,执行(R b)→R C的操作。试写出连接电路的逻辑表达式,并画出逻辑电路图。解: Rc = Ra·Sa·LDC + Rb·Sb·LDC 2 现有D触发器组成的四个8位寄存器,要求它们之间实现数据传送,试设计连接电路。 解: BUS 3 ALU的输出端一般带有一个移位器,其功能为:①ALU输出正常传送;②ALU输出左移1位(ALU i+1)传送;③ALU输出右移一位(ALU i-1)传送。试设计移位器的逻辑电路。 解:

4 一个系统有A,B两条总线,为了接收来自任何一条总线上的数据并驱动任何一条总线,需要一个总线缓冲寄存器。请用D触发器和三态门设计一个总线缓冲寄存器。 解: 5 试构造能完成下列程序操作的ASM图: (a)if X = N, then … 。 (b)if X≠N, then …, else …。 解:

(c)for X from A to B, step C, do… 。解:

(d)while X = Y, do …。 解: (e)if X > N OR X < O, then …, else …。解:

6 有一个数字比较系统,它能对两个8位二进制进行比较。其操作过程如下:先将两个8位二进制数存入寄存器A和B, 然后进行比较,最后将大数移入寄存器A中。要求: ⑴画出此系统方框图,并构造ASM流程图。 ⑵设计一个计数器型控制器。 解:(1)

②状态转移真值表

《数字逻辑与电路》复习题及答案

《数字逻辑与电路》复习题 第一章数字逻辑基础(数制与编码) 一、选择题 1.以下代码中为无权码的为CD。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为AB 。 A.8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 3.一位十六进制数可以用 C 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 4.十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是CD 。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为ABCD 。 A. (0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.与八进制数(47.3)8等值的数为:A B。 A.(100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 8.常用的BC D码有C D 。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。(√) 2. 8421码1001比0001大。(×) 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(√) 4.格雷码具有任何相邻码只有一位码元不同的特性。(√) 5.八进制数(17)8比十进制数(17)10小。(√) 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。(√) 7.十进制数(9)10比十六进制数(9)16小。(×) 8.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。(√) 三、填空题

数字电路与数字逻辑练习题

《数字电路与数字逻辑》练习题一 一、填空 1.将下列二进制数转为十进制数 (1001011)B = ( )D (11.011)B = ( )D 2.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+122)=( )真值 = ( )原码 =( )反码 = ( )补码 3.把下列4个不同数制的数(376.125)D 、(110000)B 、(17A)H 、(67)O ( 按从大到小的次序排列( )>( )>( )>( ) 。将下列各式变换成最简与或式的形式 =+B AB ( ) =+AB A ( ) =++BC C A AB ( ) 4.将下列二进制数转为十进制数 (101000)B = ( )D (11.0101)B = ( )D 5.将下列十进制数转为二进制数,八进制数和十六进制数 (0.8125)= ( )B = ( )O = ( )H (254.25)= ( )B = ( )O = ( )H 6.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+125)=( )真值 = ( )原码 =( )反码 = ( )补码 (—42)=( )真值 = ( )原码 =( )反码 = ( )补码 7.逻辑函数C A CD AB F ++=的对偶函数是__________________________;其反函数是_________________________。 8.当j i ≠时,同一逻辑函数的最小项=?j i m m _________;两个最大项=+j i M M ___________。

9.(43.5)10=(_________)2=(_________)16。 10.n个输入端的二进制译码器,共有_________个输出端,对于每一组输入代码,将有_________个输出端具有有效电平。 11.将下列二进制数转为十进制数 (1010001)B = ()D (11.101)B = ()D 12.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+254.25)=()真值 = ()原码 =()反码 = ()补码 13.把下列4个不同数制的数(76.125)D、(27A)H 、(10110)B、(67)O 按从大到小的次序排列()>()>( )>( ) 。 14.对于D触发器,欲使Qn+1=Qn,输入D=(),对于T触发器,欲使Qn+1=Qn,输入T=() 15.一个512*8位的ROM芯片,地址线为()条,数据线为()条。 16.对32个地址进行译码,需要()片74138译码器。 17.存储器起始地址为全0,256K*32的存储系统的最高地址为()。 18.将下列各式变换成最简与或式的形式 () () () 19.五级触发器的进位模数最大为()进制。 20.十进制数(78.25)10转换成十六进制数是(),转换成二进制数是(),转换成八进制数是(),转换成8421BCD码为()。 21.将二进制1100110转换成余3码为(),转换成格雷码为()。 22.设真值X= —0101,则X的原码为(),反码为(),补码为()。 23.卡诺图是()的一种特殊形式。利用卡诺图法花剑逻辑函数比()法更容易得到简化的逻辑函数表达式。 24.函数L=AC+BC的对偶式为:()。 25.一个1024*16位的ROM芯片,地址线为()位,数据线为()位。 26.对于JK触发器,若J=K,可完成()触发器的逻辑功能。 27.组合逻辑电路中部包含存储信号的()元件,它一般是由各种()组合而成的。 28.对64个地址进行译码,需要()片74138译码器。 29.AB+AC化成最小项的形式为()。 30.将变换成或非的形式为()。 31.数制转换 (6.3125)10=( )2 (1101.1101)2=( )10 32.将下列有符号的十进制数转换成相应的二进制数真值﹑原码﹑反码和补码 (+11/32)=( )真值=( )原码 =( )反码=( )补码 (-15/64)=( )真值=( )原码 =( )反码=( )补码 33.把下列3个数(76.125)D﹑(27A)H﹑(67)O按从大到小的次序排列 ( )>( )>( )

数字逻辑白中英第六版习题解答完整版

数字逻辑白中英第六版 习题解答 标准化管理处编码[BBX968T-XBB8968-NNJ668-MM9N]

《数字逻辑》(白中英)(第六版) 习题解答 第1章开关理论基础 1、将下列十进制数化为二进制数和八进制数: 十进制二进制八进制 49 110001 61 53 110101 65 127 1111111 177 635 1173 2、将下列二进制数转换成十进制数和八进制数: 二进制十进制八进制 1010 10 12 111101 61 75 1011100 92 134

101111 47 57 01101 13 15 3、将下列十进制数转换成8421BCD码: 1997=0001 1001 1001 0111 =0110 0001 0010 = 0100 0001 0110 = 0100 0111 0101 4、一个电路有三个输入端A、B、C,当其中有两个输入端为高电平时,输出X为高电平,试列出真值表,并写出X的逻辑表达式。 [解]:先列出真值表,然后写出X的逻辑表达式

5、求下列函数的值: 当A,B,C 为0,1,0时: BC B A +=1 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,1,0时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,0,1时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=0 6、用真值表证明恒等式 C B A C B A ⊕⊕=⊕⊕ 成立。 证明:

2014数字逻辑期试题及答案Word版

一、填空题(20分,每空2分) 1. (2010) D =() B = () H = () 8421BCD 答案:(111 1101 1010) B = (7DA) H = (0010 0000 0001 0000) 8421BCD 2. 仓库门上装了两把暗锁,A、B两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为。 答案:与逻辑 3. 逻辑函数式F=AB+AC的对偶式为,最小项表达式为 ∑ =m F( )。 答案:) )( (C A B A F D+ + =∑ =m F(5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F' ' ' ' ' '+ + + + + =的最简与或式是。 答案:'D A+ 4. 从结构上看,时序逻辑电路的基本单元是。 答案:触发器 5. JK触发器特征方程为。 答案:Q K JQ' '+ 6.A/D转换的一般步骤为:取样,保持,,编码。 答案:量化 二、选择题(20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为()位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD的真值表中,F=1的状态有()个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用()。 A) 与非门B) 与门C) 集电极开路(OC)门D) 三态门答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门B) “与”门C)“或”门D) “或非”门

图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 图2 A) 上升沿D 触发器 B) 下降沿D 触发器 C) 下降沿T 触发器 D) 上升沿T 触发器 答案:D 7. 寄存器要存放n 位二进制数码时,需要( )个触发器。 A) n B) n 2log C) n 2 D) n/2 答案:A B C

相关主题
文本预览
相关文档 最新文档