当前位置:文档之家› 数电课程设计-四路抢答器..

数电课程设计-四路抢答器..

数电课程设计-四路抢答器..
数电课程设计-四路抢答器..

数字电子技术基础课程设计

二、设计任务与要求

1、设计任务

设计一个由四人参加的智力竞赛抢答电路,当主持人宣布“开始”,显示出首先作出判断的参加竞赛者。功能:

(1)清零功能:可用触发器的异步复位端实现,由主持人控制。

(2)抢答键控功能:可用触发器和门电路实现。

(3)显示功能:可用发光二极管显示,用蜂呜器发声。

2、设计要求

(1)选择适当的元器件,设计该电路。以实现上述功能。(2)利用Protel99绘制其电路原理图。

(3)对每个元器件选择合适的封装,形成网络表文件。(4)选择正确的布线规则,形成该电路的PCB板图。

三、总体方案设计

1、抢答器结构框图

抢答器的结构框图如下图所示,主要由开关按钮、触发锁存电路、脉冲信号发生器、LED 显示电路和蜂鸣器报警电路组成。

2、总体方案设计

采用74LS175为主芯片的设计方案

抢答器的电路设计图使用Protel 绘制,结果如图2所示。

主持人按钮

触发锁 存控制电路

脉冲信号发生器

LED 显示电路

蜂鸣器报警电路

抢 答 按 钮

图2 抢答器电路设计方案

该电路由四D触发器、与非门及脉冲触发电路等组成。74LS175为四D触发器,其内部具有四个独立的D 触发器。74LS20为四输入端的与非门,一块芯片中有两个独立的与非门。74LS00为二输入端的与非门,在一块芯片中有4个独立的与非门。优先判决电路用来判断哪一个预定状态优先发生的电路,如判断知识竞赛中谁先抢答。当有选手抢答时,首先锁存,阻止其他选手抢答,然后编码对应的LED灯变亮同时蜂鸣器产生音响。主持人按钮实现复位,开始下一题抢答。

3、电路工作原理

电路上电后,按下复位按键S5实现清零功能,/Q1~

/Q4 输出高电平,与之相连接的指示用的四个LED全熄

灭。同时以Q1~Q4作为输入的或非门输出为高电平,经非门输出低电平,蜂鸣器不响;经与门使脉冲正常输入。松开复位键,电路进入准备状态。假设有按键S3 (3号选手)被按下,Q3输出变为高电平,/Q3变为低电平。从而导致对应得LED被点亮,或非门的输出将由高变成低电平,经非门变为高电平使蜂鸣器鸣叫。同时或非门的低输出经过与门使得脉冲信号无法进入CLK端,即芯片的CLK保持低电平,此时芯片处于数据保持状态,按下除复位之外的任何的按键都将不会发生电路状态的变化,即输入被锁定,达到阻止其他选手抢答的目标。而后,主持人按下复位,准备进入下一轮抢答。

四、单元电路设计

1、按钮电路

下图为四路开关阵列电路,从图上可以看出其结构非常简单。当任一开关按下时,相应的输出为低平,否则

为高电平。

2、触发锁存电路

下图3所示为74LSl75外引线排列图和逻辑电路图。其中,D R 是异步清零控制端。D 0~D 3是并行数据输入端,CP 为时钟脉冲端,Q 0~Q 3是并行数据输出端,0Q ~3Q 是Q 0~Q 3的反码数据输出端。

图 4所示电路的数码接收过程为:将需要存储的四位二进制数码送到数据输入端D 0~D 3,在CP 端送一个时钟脉冲,脉冲上升沿作用后,四位数码并行地出现在四个触发器Q 端。

V CC GND

1 2 3 5 6 7 4 8

9

10

11

12

13

14 15

16

Q 3

D 3

D 2

Q 2

CP

Q 1 Q 0

D 1

D

R 74LS175

D 0 1

Q 2

Q 3

Q 0

Q

图 3

CP Q 0

R 1D

C1

Q Q

R 1D

C1

1

Q Q

R

1D

C1

2

Q Q

R

1D C1 3

Q Q

Q 1

Q 2

Q 3

1

1 D 0

D 1

D 3

FF 0 FF 1 FF 2 FF 3 D

R

图 4

图5示是4位集成寄存器74LSl75

图5

下表1所示是D触发器功能表:

表1 74LS175的功能表

清零时钟输入输出

工作模式CR CP 1D 2D 3D 4D 1Q 2Q 3Q 4Q

0 ×××××0 0 0 0 异步清零

1 ↑1D 2D 3D 4D 1D 2D 3D 4D 数码寄存1 1 ××××保持数据保持1 0 ××××保持数据保持

特性方程:当D=0时Q n+1=0,当D=1时Q n+1=1,由此得D

触发器特性方程为Q n+1=D.

图6所示即为4路触发锁存电路。图中74LS175为4D锁存器,一开始,当所有开关均未按下时,锁存器输

出全为高电平,经4输入与非门和非门后的反馈信号仍

为高电平,该信号作为锁存器使能端控制信号,使锁存

器处于等待接收触发输入状态;当任一开关按下时,输

出信号中必有一路为低电平,则反馈信号变为低电平,

锁存器刚刚接收到的开关被锁存,这时其它开关信息的输入将被封锁。由此可见,触发锁存电路具有时序电路的特征,是实现抢答器功能的关键。

说明:CLK代表时钟脉冲输入端CP POL代表功能输入端M。

图6

3、LED显示电路

图7即为LED显示电路,由LED发光二极管和限流电阻组成,当锁存器输出高电平时LED亮。

图7

4、报警电路

图8为蜂鸣器报警电路,当74LS20输出高电平时报

警。

图8

5、脉冲信号发生器

图9为555定时器构成的脉冲信号发生器电路。

图9

五、用Protel 99se设计印制电路

下面是印制板图过程

(1)启动印刷电路板设计服务器

执行菜单File/New命令,从框中选择PCB设计服务器(PCB Document)图标,双击该图标,建立PCB设计文档。双击文档图标,进入PCB设计服务器界面。(2)规划电路板

根据要设计的电路确定电路板的尺寸。选取Keep

Out Layer复选框,执行菜单命令Place/Keepout/Track,绘制电路板的边框。执行菜单Design/Options,在“Signal Lager”中选择Bottom Lager,把电路板定义为单面板。

(3)设置参数

参数设置是电路板设计的非常重要的步骤,执行菜单命令Design/Rules,左键单击Routing按钮,根据设计要求,在规则类(Rules Classes)中设置参数。

选择Routing Layer,对布线工作层进行设置:左键单击Properties,在“布线工作层面设置”对话框的“Pule Attributes”选项中设置Tod Layer为“Not Used”、设置 Bottom Layer为“Any”。

选择Width Constraint,对地线线宽、电源线宽进行设置。

(4)装入元件封装库

执行菜单命令Design/Add/Remove Library,在“添加/删除元件库”对话框中选取所有元件所对应的元件封装库,例如:PCB Footprint,Transistor,General IC,International Rectifiers等。

(5)装入网络表

执行菜单Design/Load Nets命令,然后在弹出的窗口中单击Browse按钮,再在弹出的窗口中选择电路原理图设计生成的网络表文件(扩展名为Net),如果没有错误,单击Execute。若出现错误提示,必须更改错误。

(6)元器件布局

Protel 99SE既可以进行自动布局也可以进行手工布局,执行菜单命令Tools/Auto Placement/Auto Placer可以自动布局。布局是布线关键性的一步,为了使布局更加合理,多数设计者都采用手工布局方式。(7)自动布线

Protel 99SE采用世界最先进的无网格、基于形状的对角线自动布线技术。执行菜单命令Auto Routing/All,并在弹出的窗口中单击Route all按钮,程序即对印刷电路板进行自动布线。只要设置有关参数,元件布局合理,自动布线的成功率几乎是100%。

下图10所示是印制板图

图10印制板图

图11 3D预览图

六、元件清单

表2 元件清单

序号品名型号/规格数量配件图号实测情

74LS175 1 U1

1 数字集成电

74LS00 2 U2

2 数字集成电

3 数字集成电

74LS20 1 U3

555定时器 1 U5

4 数字集成电

5 LED发光二BS205 1 D1~D4

极管

6 按键SW 5 S1~S5

7 碳膜电阻1kΩ 2 R1~R2

8 碳膜电阻0.1kΩ 4 R3~R6

9 瓷片电容0.1u 3 C1~C3

8 蜂鸣器BUZZER 1 U4

七、分析总结

这次课程设计综合应运了数字电子技术所学的知识和电路制作和仿真调试软件,将理论和实践相结合,增强对理论知识掌握的同时,更增强了我对知识的应用能力和动手能力。同时我们分组讨论,互相学习,共同进步。

课程设计终于顺利完成了,在设计中遇到了很多专业知识问题,在老师和热心同学的指导和帮助下,终于解决了困难。最后对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

八、参考文献

[1]阎石主编.数字电子技术基础(第五版)高等教育出版社.2005

[2]张秀娟主编.数字电子技术基础实验教程.北京航空航天大学出版社.2007

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

中衡数电课设四路抢答器的【设计明细】

4路抢答器的设计 绪论: 随着经济水平的不断提高,当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,智力竞赛是一种生动活泼的教育方式,人们在物质文明得到满足的同时更注重精神文明的追求,各种节目不断涌现,提高节目的质量尤为重要。本次设计是针对活动中用到的抢答器而设计的。抢答器是为竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,广泛应用于各种知识竞赛、文娱活动等场合。通过抢答和必答两种答题方式能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们迅速增加一些科学知识和生活常识。 现在的抢答器有着数字化,智能化的方向发展,这就必然提高了抢答器的成本。鉴于现在小规模的知识竞赛越来越多,操作简单,经济实用的小型抢答器必将大有市场本文设计的抢答器,电路简单,成本较低,操作方便,灵敏可靠,具有较高的推广价值。 抢答器在竞赛中有很大用处,它能准确、公正、直观地判断出第1抢答者。通过抢答器的指示灯显示手段指示出第1抢答者[1]。本论文设计宗旨在培养学生综合模拟、数字电路知识,解决电子信息方面常见实际问题的能力,掌握一般电子电路设计方法与设计步骤。促使学生积累实际电子制作经验,准备走向更复杂更实用的应用领域。目的在于巩固基础、注重设计、培养技能、追求创新、走向实用。 功能简介:参加竞赛者对主持人提出的问题要在短时间内作出判断,并按下抢答按键回答问题。当第一个人按下按键后,同时电路将其他抢答按键封锁,使其不起作用。主持人有开时间和复位键,按下开时间后才能开始抢答,否者犯规。抢答前主持人操作开关使抢答有效,显示灯全熄灭。当四人中有人抢答有效时,其对应的显示灯亮,此时其余的开关不起作用,即其对应的显示灯灭。

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

基于stm32的四路抢答器课程设计说明书大学论文

课程设计说明书题目:基于STM32的四路抢答器 学院: 年级专业: 学号: 学生姓名: 指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。在我们各种竞赛中我们也经常能看到有抢答的环节,某些举办方采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性,而抢答器的应用就能避免这种弊端。今天随着科技的不断进步抢答器的制作也更加追求精益求精,人们摆脱了耗费很多元件仅来实现用指示灯和一些电路来实现简单的抢答功能,使第一个抢答的参赛者的编号能通过指示灯显示出来,避免不合理的现象发生。但这种电路不易于扩展,而且当有更高要求时就无法实现,例如参赛人数的增加。随着数字电路的发展,数字抢答器诞生了,它易于扩展,可靠性好,集成度高,而且费用低,功能更加多样,是一种高效能的产品。而如今在市场上销售的抢答器大多采用可编程逻辑元器件,或利用单片机技术进行设计。 本文实现了一个基于嵌入式STM32单片机的4路抢答器系统设计,本系统设计主要分为硬件设备和软件控制两大部分。外部硬件使用STM32单片机作为控制中心,用4个按键作为抢答输入,抢答开始后,抢答成功者的LED灯标识为红色闪烁,并且显示各抢答输入的时间。 关键词:智能抢答器,STM32,按键输入,数码管显示

目录 摘要 (2) 目录 (3) 第1章绪论 (5) 1.1课题研究的相关背景 (5) 1.2选题的目的和意义 (5) 1.3课题研究的内容 (5) 1.4国内外研究现状 (6) 1.5抢答器目前存在的主要问题 (6) 第2章抢答器的系统概述 (7) 2.1系统的主要功能 (7) 2.2抢答器的工作流程 (7) 2.3STM32的功能及简介 (7) 2.4抢答器的优点及组成 (10) 2.5本章小结 (11) 第3章系统的硬件设计与开发 (11) 3.1系统硬件总体设计 (11) 3.2STM32最小系统 (12) 3.4时钟频率电路的设计 (14) 3.5复位电路的设计 (15) 3.6数码管显示 (16) 3.7键盘电路的设计 (16) 3.8LED电路 (18) 3.9本章小结 (18) 第4章系统的软件设计与开发 (19) 4.4主要程序分析 (20) 4.5本章小结 (29) 第5章总结与展望 (30) 5.1总结 (30)

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

数字四路抢答器设计方案

数字四路抢答器设计方案 一、设计任务 设计并制作一个四路抢答器。 二、设计要求 1.抢答器供4人比赛用,分别有A,B,C,D表示。 2.当按下清零开关时电路复位,这时可以进行下一轮比赛。 3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,在LCD数码管上显示, 且蜂呜器发出声音。 注:选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 三、设计方案与总体设计 1.本设计主要由COMS系列数字集成电路CD4511、CD4001、NE555等组成。 2.其工作原理为:接通电源后,将开关拨到”清除”状态,抢答器处于禁止状态,编号显示器不亮;将开关置于“开始”状态,抢答器开始工作。在抢答时,抢答器完成:优先判断、编号锁存、编号显示、蜂呜发音。当一轮抢答之后,如果再次抢答必须再次操作“清除”和“开始”状态开关抢答器才能工作。 四、单元电路设计 1.开关、编码电路 电路中,R1、R2、R3、R4、用于分压,当任一开关按下时,相应的输出为高电平,否则为低电平。电路直接把每个开关对应的BCD8421码中为“1”的隔着二极管连到对应的线上(只有一个为高电平的可省略二极管),其中二极管的作用是防止短路。当某个开关按下时,与它相连的输出线将为高电平,其它输出线为低电平,输出既为这个开关的8421码(BCD码)。 2.编码表 (1)这部分电路要求将编码电路送入8421码,译为十进制数(对应各个抢答者的编号)并驱动七段数码显示管显示出答题者的编号。CD4511是一块BCD-十进制译码/驱动器,并带有锁存埠。其引脚排列图如图所示:

CD4511引脚排列图: (2)显示电路如下: 3.控制电路 (1)这部分电路的作用完成三个任务:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是使其它选手按键操作无效;三是防止提前按键。(2)控制电路图

(完整版)基于51单片机的4人抢答器课程设计

基于51单片机的4人抢答器设计 设计要求: 以单片机为核心,设计一个4位竞赛抢答器:同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 工作原理: 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

#include #define uchar unsigned char #define uint unsigned int uchar num; //定义中断变量,num计满20表示1秒时间到uchar num1; //十秒倒计时显示初始值 uchar flag1,flag2; //清零键及开始键按下标志位 uchar flag3,flag4=0; //定义键盘按下标志位 uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; //数码管编码

四路抢答器

一、设计题目: 四路抢答器设计 二、技术指导和技术要求: 用集成芯片设计并制作简易四路抢答器,具体要求如下: 1.每个参加者控制一个按钮,用按动按钮发出抢答信号; 2.抢答组数分为四组,即序号A、B、C、D,对应数字编码1、2、3、4,优先抢答者按动本组序号开关,该组对应数字编码立即锁存到LED显示器上,同时封锁其他组号,并有蜂鸣器声音提示。此后其他3人再按动按钮对电路不起作用; 3.竞赛主持人另有一个按钮,用于将电路复位。 三、选择总体方案,确定电路 1、提出电路的方案 方案(1): 如图1所示为总体方框图。其工作原理为:通电源后,抢答器处于工作状态,编号显示器灯灭,主持人宣布“开始”,选手开始抢答,抢答器完成:优先判断、编号锁存、编号显示。当一轮抢答之后,禁止二次抢答。如果再次抢答必须由主持人操作“复位”开关。 图1 电路原理框图 方案(2): 下面是用74LS175、74LS00、74LS20得到的4路抢答器的电路,如图2。 这个电路只是通过发光二极管来显示其结果,没有达到按照选手的号码来显示数字,并且其电路抢答没有声音,所要求集成块个数跟方案(1)相当,但实现功能稍逊方案(1)。 2、方案的选择与论证 比较方案(1)和方案(2),发现方案(1)需要元器件数量稍微多一点,但

所需元件都是比较简易,电路不是很复杂,并且所显示的效果比方案(2)要多,达到了实验的要求。而方案(2)不能有效达到设计指标(没有声音、无数字显示),所以选择方案(1)。 图2 方案(2)电路图 四、单元电路推导和电路元器件参数计算 (1)优先锁存、门控电路: CD4511具有锁存、译码、消隐功能,通常以反相器作输出级,通常用以驱 动LED,其引脚图和功能表如图3。 图3 CD4511的引脚图和功能表

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

数字电子课程设计_4路抢答器课程设计报告

一、设计题目 题目:四人智力竞赛抢答器 二、设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)可用石英晶体振荡器或者555定时器产生频率为1H z的脉冲信号,作为定时计数器的CP信号。 三、原理电路设计: 1、方案比较; 方案一: 抢答电路:使用74ls175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74ls175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74ls148作为编码器,对输入的型号进行编码,输出4位的BCD码,再将这四位的BCD码输入共阴数码管里显示出抢答者的编号。 主持人电路:;利用74ls190计数器作为倒计时的芯片,当主持人按下抢答按钮时,74ls190被置九,同时将显示上次抢到题目的选手编号的数码管清零,并开始倒计时,,并通过74ls48编码器将即时时间进行编码,并送到7段共阴数码管,显示此时的时间。假如在9秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 方案二: 锁存电路采用CD4042来触发,如果用CD4042,则可以用低电平触发,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,CD4042 立即被锁存,同 RC端来将时蜂鸣器鸣叫1s,这时抢答无效。此外当倒计时到0时,利用借位0 锁存器的信号置零。而不是像方案一那样使用max/min端。

四人抢答器课程设计报告_选定

本科课程设计专用封面 设计题目: 四人抢答器 所修课程名称: 电子技术基础数字部分 修课程时间: 2012 年 9 月 26日至 12月 27日 完成设计日期: 2012 年 12月 27 日 评阅成绩: 评阅意见: 评阅教师签名: 年 月 日 ____工____学院__2010__级__电气工程及其自动化__专业 姓名_______ 学号________________ ………………………………(装)………………………………(订)………………………………(线)………………………………

四人智力竞赛抢答器 一、设计题目 四人智力竞赛抢答器 二、设计任务与要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”。选手抢答时,数码显示选手组号,倒计时停止,此时抢答按键无效,数码管显示数字不能改变;倒计时完成之后抢答按键被锁住,按键无效。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,抢答按键被锁住,直到主持人恢复倒数数据。参赛选手在设定时间(9秒)内抢答有效,抢答成功,定

74LS160四路抢答器设计

江汉大学文理学院课程设计报告课程设计题目多路抢答器 部(系)信息技术学部 专业电子信息工程2班 姓名 学号 指导教师路银聚 设计日期2014年 6月10日

目录 一、设计题目 (3) 二、设计目的 (3) 三、主要内容及要求 (3) 四、基本原理及参考框图 (3) 五、设计方案 (4) 六、电路工作原理 (4) 七、仿真调试与分析 (10) 八、结论与心得 (11) 九、参考元件 (12)

一、设计题目 多路抢答器(74161/74160实现) 二、设计目的 在进行智力竞赛时,需要反映及时准确、显示清楚方便的定时抢答电路。通常多组参加竞赛,所以定时抢答设备应该包括一个总控制和多个具有显示及抢答设置的终端。 三、主要内容及要求 (1)设计一个智力竞赛抢答器,可同时提供4名选手参加比赛,按钮的编号为1、2、3、4。 (2)给主持人设置一个控制开关,用来控制系统的清零。 (3)抢答器具有数据锁存和显示的功能。主持人将系统复位后,参赛者按抢答开关,当某台参赛者按下抢答开关时,由数码管显示该台编号并伴有声响。此时,抢答器不再接收其他输入信号。 (4)设置计分电路。具有计分功能。每组参赛者起始分为100分,抢答后由主持人计分,答对1次加10分,否则减去10分 (5)定时功能。系统设置60秒定时时间,当有参赛者抢答成功后,定时器开始减法计数并显示,参赛选手在设定时间内回答成功,由主持人将定时电路复位;如果定时时间到,参赛者尚未回答成功,则发出报警信号,由主持人将定时电路复位。 四、基本原理及参考框图 抢答按钮 优先 编码电路 锁存器译码电路 序号 显示电路 主持人 控制开关 控制电路计时电路报警电路扬声器 计分电路 得分 显示电路 图1 总体方案原理框图

四路智力竞赛抢答器设计

吉林建筑大学 电气与电子信息工程学院 数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生姓名: 学号: 指导老师: 设计时间: 教师评语: 成绩:评阅老师日期

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与内容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

一、课程设计目的: 数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

四人抢答器课程设计报告

《数字电子技术基础》课程设计任务书 专业:电气工程及其自动化班级:13电气专升本 学号:130732002 姓名:陈云飞 指导教师:耿素军 二零一三年十一月二十六日

四路数电抢答器 一、课程设计(论文)要求及原始数据(资料): 1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 3)设计定时电路,声、光报警或音乐片驱动电路。 4)设计控制逻辑电路,启动、复位电路。 5)设计计分电路,犯规电路。 6)安装自己设计的电路和仿真。 7)写出设计报告。 二、课程设计进度: 二、主要参考文献 【1】阎石《数字电子电路》. 北京:高等教育出版社. 2007 【2】康华光. 《电子技术基础》(数字部分). 北京:高等教育出版社. 2000 【3】何小艇《电子系统设计》浙江大学出版社,2000 【4】董诗白《数字电子技术》高等教育出版社,2001 【5】吕思忠《数字电路实验与课程设计》,2001

专业班级 13电气专升本学生陈云飞李安瑞王菲安浩然王克强 课程设计(论文) 工作截至日期 2013-12-10 课程设计评语 课程设计成绩;

目录 1课程设计目的 (1) 2设计指标 (1) 3 总体框题设计 (1) 4方案设计 (2) 5总电路图设计 (8) 6使用元件 (9) 7总结 (10) 8 参考文献 (11)

一、课程设计目的 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计指标 (1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 (2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 (3)设计定时电路,声、光报警或音乐片驱动电路。 (4)设计控制逻辑电路,启动、复位电路。 (5)设计计分电路,犯规电路。 (6)安装自己设计的电路和仿真。 (7)写出设计报告。 三、总体框图设计 当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行倒记时,并通过译码器在显示器中显示。报警电路给出声音提示。当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。如图1.

四路抢答器课程设计报告 用到的芯片有74LS175和74LS20

绪言 为了加深对数字电子技术课程理论知识的理解,有效地提高动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力,树立严谨的科学作风,培养综合运用理论知识解决实际问题的能力。现设计一个四人智能抢答器,通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。

四人智力竞赛抢答器 一、设计任务与要求 1.设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。由主持人控制,抢答前锁定抢答器,抢答时开启电路;用发光二极管LED显示哪个选手抢到。 2.设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮和发光二极管,按钮和发光二极管的编号都与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3)抢答器具有数据锁存功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 二、电路原理分析 下图为供4人用的智力竞赛抢答装置电路,用以判断抢答优先权。图中F1为4D触发器74LS175,它具有公共置0端和公共CP端;F2为双4输入与非门74LS20;F3是由74LS00组成的多谐振荡器;F4是由74LS74组成的4分频电路。F3,F4组成抢答电路中的CP时钟脉冲源。抢答开始时,由主持人清除信号,按下复位开关S5,74LS175的输出Q1~Q4全为0,所有发光二极管LED均熄灭。当主持人宣布“抢答开始”后,首先做出判断的参赛者立即按下开关,对应的发光二极管点亮,同时,通过与非门F2送出的信号锁住其余3个抢答者的电路,不再接受其他信号,直到主持人再次清除信号为止。

四路-抢答器课程设计

… 课题题目:数字式4组竞赛抢答器的设计与制作 一、课程设计目的 本次课程设计主要是《数字电子技术》理论课程而设置的一门实践性课程,起到巩固所学知识,加强综合能力,培养电路设计能力,提高实验技术,启发创新思想的效果。 二、初始条件: 本课程设计,要求用集成电路:74LSl48,74LS192,74LS175,74LS160,74LS00,74LS04,74LS20,74LS48,74LS32,555定时器和其它器件等,实现四路定时抢答功能。 三、要求完成的主要任务: 1、可同时供4名选手(或代表队)参赛,其编号分别是1到4,各用一个抢答按钮,按钮的编号与选手的编号相对应。给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 2、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。 @ 3、抢答器具有定时抢答的功能,且一次抢答的时间为10秒。当主持人启动“开始”键后,要求定时器立即进行加计时,并用显示器显示。 4、参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作, 显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0。

目录 1 功能介绍 (3) 主要功能介绍 (3) 扩展功能介绍 (3) 2总体方案设计.................................................. (3) ; 3单元模块设计.................................................. (4) 抢答器控制端电路功能介绍 (4) 定时时间电路 (5) 控制电路和报警电路 (5) 振荡电路 (6) 4主要芯片介绍 (7) 优先编码器 74LS148 (7) 计数器74LS192 (8) 5四人抢答器仿真.............................................. (9) ; 6系统调试...................................................... (9) 7参考文献 (11) 1功能介绍 主要功能介绍 (1)抢答器最多可供4名选手参赛,编号为1~4号,各队分别用一个按钮(分别为J1、J2、J3、J5)控制,并设置一个系统清零和抢答控制开关J4,J7,该开关由主持人控制。 (2)抢答器具有数据锁存功能,并将锁存数据用LED数码管显示出来,直到主持人清零。 (3)开关J4作为清零及抢答控制开关(由主持人控制),当开关J4被按下时抢答电路清零,当开关J7松开后则允许抢答。输入抢答信号由抢答按钮开关J1、J2、J3、J5实现。

四路抢答器 (完整版)

华北科技学院 课程设计说明书 班级:电子B071 姓名:郭亚立 设计题目:四路智能抢答器 设计时间: 2010.1.9 至 2010.1.22 学号: 200703014138 指导教师:杜志伟 评语: 评阅成绩:评阅老师:

四路抢答器设计报告 目录 一、设计任务和要求: ................................................................................................................... - 3 - 1.1设计任务 (3) 1.2设计要求 (3) 二、设计方案的选择与论证 ........................................................................................................... - 4 - 2.1方案的选择、论证 (4) 2.2设计总方案 (4) 三、电路设计计算与分析 ................................................................................................................. - 5 - 3.1抢答器控制电路设计 (5) 3.1.1 优先编码器74LS148 ..................................................................................................... - 7 - 3.1.2 锁存器74LS279 ............................................................................................................ - 9 - 3.1.3 74LS121单稳态触发器:.......................................................................................... - 10 -3.2定时时间电路的设计 (10) 3.2.1 计数器74LS192 ............................................................................................................ - 12 -3.3控制电路和报警电路 (13) 3.3.1 振荡电路....................................................................................................................... - 17 -

相关主题
文本预览
相关文档 最新文档