当前位置:文档之家› 数字电路与系统分析第六章习题答案

数字电路与系统分析第六章习题答案

数字电路与系统分析第六章习题答案
数字电路与系统分析第六章习题答案

& I试分析图P5,i所示时序电路。

a P6J

解:1)分析电路结构:该电路是由七个与非门及一个JKFF组成,且CP下降沿触发,属于

米勒电路,输入信号X,X2,输出信号乙

2)求触发器激励函数:J=X i%, K= X X

触发器次态方程:Q+1=XX2 Q+ X i X2Qf=X i X2 Q+(X i+XQC f

电路输出方程:Z= X X2Q+X1 Xa Q+ X X2 Q+X1X2Q

3)状态转移表:

表 6.3.1输入S(t) N(t) 输出

X1 X2 Q Q+1Z

0 0 0 0 0

0 0 1 0 1

0 1 0 0 1

0 1 1 1 0

1 0 0 0 1

1 0 1 1 0

1 1 0 1 0

1 1 1 1 1

4)逻辑功能:实现串行二进制加法运算。XX为串行输入的被加数和加数,Q为低位来的进

位,Q+1表示向高位的进位。且电路每来一个CP实现一次加法运算,Z为本位和,Q在本时钟周期表示向高位的进位,在下一个时钟周期表示从低位来的进位。

例如X=110110, X2=110100,

则运算如下表所示:LSB MSB

试作出101序列检测器的状态图,该同步电路由一根输入线X, —根输出线Z,对应与输入

序列的101的最后一个“ 1 ”,输

Z=1。其余情况下输出为

“ 0 ”。

(1

)

101序列可以重叠,例如: X: 0 乙000101001

(2

)

101序列不可以重叠,如: X: 00 乙0001000010

解:

1)

$:起始状态,或收到101序列后重新检测。

S:收到序列

“ 1”。

S2:连续收到序列

“ 10 ”。

1/0

11…

100

2

6 3对卜列康織賦态表进行貧化:

5(f)X「

x

01r o1

4 A B00

B C A01

C甘D0

D C00

3(0

1出心}

X

1

A!丹

E C

C I1F

廿A

F£

G C1

H C

X

0~ -

解:(1)列隐含

表:

(a)

进行关联比较得到所有的等价类为:AD BC

最大等价类为:AD BC 重新命名为a , b o 3)列最小化状态表为:

s (t)

N(t)/Z(t)

X=0

X=1 a

a/0 b/0 b

b/0

a/1

(b )

解:1)画隐含表:

X

X

X

X

X

X

X X X

X

X

X

X

X

X

X

X

X

X

X

X

A

B

C

D

E

F

G

2)进行关联比较:ACBDEGHF ,之间互为等价隐含条件,所以分别等价。四个等价态重新 命名为:a , b , e , h

(b)

3)列最小化状态转移表:

表634

试画出用MSI移存器74194构成8位串行并行码的转换电路(用3片74194或2片74194 和一个D 触发器)。

解:1)用 3 片74194:

表6.3.5 题的状态转移表

1 2 3

Q 0

Q ; Q 2 Q 3 Q 4 Q 5 Q 6 Q 7 Q 8 M Q

M 〔 下一操作 清Q 0

0 0 0 0 0 0 0 0 1 1 准备送数

CP 1 T D Q 0

1 1 1 1 1 1 1 1 0 准备右移 CP 2T D 1 D Q

1 1 1 1 1 1 1 0 准备右移 CP 3T

D 2

D 1 D 0

1 1 1 1 1 1 0 准备右移 CP 4T D 3 D

2 D 1 D 0 0

1

1 1 1 1 0 准备右移

CP 5T D 4 D 3 D 2 D 1 D 0 0 1 1 1 1 0 准备右移 CP 6^ D 5 D 4 D 3 D 2 D 1 D 0 0

1 1 1 0 准备右移 CP 7T D 6 D 5 D 4 D 3 D

2 D 1 D 0 0

1 1 0 准备右移

CP 8T

D 7

D 6

D 5

D 4

D 3

D 2

D 1

D 0

1

1

准备送数

2)用2片74194和一个D 触发器

状态转移表同表。

A

试画出74194构成8位并行 串行码的转换电路

Q 0 Q 1 Q 2 Q 3 Q 4

Q 5 Q 6 Q 7Q 8

串行输入

—Q Q Q 1 Q 2 Q 3 CR M Q —Q Q Q 1 Q 2 Q 3 CR M 0

CP 74194 (2)M 1

D SR

D SL

D SR

D SL

D SL

1D

CP

1

R D

CP 74194(1)M1

试分析图馬壬电路,画出状态转移图并说明有无自启动性。

田 P6.6

解:激励方程:J i =K =1

R evis ion

表6.3.6

题的状态转移表:

0 D'i D2 D 3

D'c D : D'c D'

数字电路与系统分析第一章习题答案

数字电路与系统习题参考答案 南京邮电学院电子工程系 2003/12

习题答案 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1.3二进制数00000000~11111111和0000000000~1111111111分别可以代表多少个数? 解:分别代表28=256和210=1024个数。 1.4 将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1.6 将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1.7 将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1.8 转换下列各数,要求转换后保持原精度: 解:(1.125)10=(1.0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 ——先将2421BCD码转换成十进制数(252)10,再转换成二进制数。 (0110.1010)余3循环BCD码=(1.1110)2 ——余3循环BCD码中的1和0没有权值意义,因此先转换成十进制数(1.9)10,得出原精度为10-1,转换的二进制的小数位k≥3.3,因此至少取4位。 1.9 用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD (2)余3 BCD码

数字电路经典笔试题目汇总

数字电路笔试汇总 2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同 步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電 路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-- 因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用 非同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻 辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存 器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路 共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻) 4、什么是Setup 和Holdup时间?(汉王笔试) 解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信 号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下 一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不 变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不 变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

大工《数字电路与系统》课程考试模拟试卷A答案

大连理工大学网络教育学院 《数字电路与系统》课程考试模拟试卷答案 考试形式:闭卷试卷类型:A 一、单项选择题(本大题共6小题,每小题3分,共18分) 1.B 2.C 3.C 4.B 5.A 6.C 二、填空题(本大题共10空,每空2分,共20分) 1.(1) 213 (2) 12.04 (3) 40D (4) 10101001.1100 2. 三、化简题(本大题共3小题,每小题6分,共18分) =++ 1.答:F XYZ XY XY Z =+(3分) XY XY =(3分) Y 2.答:填卡诺图,圈1,得到最简与或表达式。

(3分) Y B AD ACD ∴ =++ (3分) 3.证明:0A ⊕ 00A A =+ (3分) A = (3分) 四、简答题(本大题共3小题,第1、2小题各7分,第3小题10分,共24分) 1.答: Q Q O O t t S R O O t t (错1处扣1分,图都正确7分满分) 2.答: ln( )DD T T DD T T V V V T RC V V V -+ +- -=- (3分)

3812 2.7 6.3 201010ln()s 12 6.3 2.7 --=????- (2分) 0.267ms 267μs == (2分) 3.答:(1)3 ref f 322821016V 210 V FSR R R ???===? (2分) (2)当123011X X X =时,333 166V 28o V FSR =-=-?=- (2分) 当123110X X X =时,366 1612V 28 o V FSR =-=-?=- (2分) (3),min 311 162V 28 o V FSR =-=-?= (2分) (4)3,max 3217 1614V 28 o V FSR -=-=-?=- (2分) 五、计算题(本大题1小题,共20分) 1.答:(1)分析。分别用变量A 、B 、C 代表三人的表决选择,变量取值可以为1和0,分别代表同意和反对,最后的表决结果为变量Y ,其取值0表示提议未通过,1表示提议通过。 (3分) (2)列出真值表,如下表所示。 五.1题真值表 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 (真值表3分) (3)化简逻辑函数,如下图(a )所示,得到 Y AB BC AC =++ (3分) (4)画出逻辑图,如下图(b )所示。 如果要求用与非门实现该逻辑电路,就应将表达式转换成与非-与非表达式: Y AB BC AC ABBC AC =++= (3分)

数字电路试题及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = (11110.01 ) 2 = (1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为: 高电平 、 低电平 和 高阻态 。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储 4 位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为 条、数据线为 条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:( C )图。 2.下列几种TTL 电路中,输出端可实现线与功能的电路是(B )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 3.对CMOS 与非门电路,其多余输入端正确的处理方法是(D )。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C)。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C)。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为(D )。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有(C )个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电路第六章练习带答案

第六章(选择、判断、填空共19题) 一、选择题 1.脉冲整形电路有。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.555定时器 2.多谐振荡器可产生。 A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 3.石英晶体多谐振荡器的突出优点是。 A.速度高 B.电路简单 C.振荡频率稳定 D.输出波形边沿陡峭4.T T L单定时器型号的最后几位数字为。 A.555 B.556 C.7555 D.7556 5.555定时器可以组成。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6.用555定时器组成施密特触发器,当输入控制端C O外接10V电压时,回差电压为。 A.3.33V B.5V C.6.66V D.10V 7.以下各电路中,可以产生脉冲定时。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.石英晶体多谐振荡器 二、判断题(正确打√,错误的打×) 1.施密特触发器可用于将三角波变换成正弦波。() 2.施密特触发器有两个稳态。() 3.多谐振荡器的输出信号的周期与阻容元件的参数成正比。() 4.石英晶体多谐振荡器的振荡频率与电路中的R、C成正比。() 5.单稳态触发器的暂稳态时间与输入触发脉冲宽度成正比。() 6.单稳态触发器的暂稳态维持时间用t W表示,与电路中R C成正比。()7.采用不可重触发单稳态触发器时,若在触发器进入暂稳态期间再次受到触发,输出脉宽可在此前暂稳态时间的基础上再展宽t W。() 8.施密特触发器的正向阈值电压一定大于负向阈值电压。() 三、填空题 1.555定时器的最后数码为555的是产品,为7555的是产品。

数字电路试题及答案

数字电路试题 一、单项选择题 1、以下代码中为无权码的为 ( ) A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D .2421BCD 码 2、图示逻辑电路的逻辑式为 ( ) A .F=C B A ++ B .F= C B A ++ C .F=C B A D .F=ABC 3、下列关于异或运算的式子中,不正确的是 ( ) A .0A A =⊕ B . 1A A =⊕ C .A 0A =⊕ D .A 1A =⊕ 4、一个n 变量的逻辑函数应该有 个最小项 ( ) A .n B .n 2 C .n 2 D .2 n 5、若编码器中有50个编码对象,则要求输出二进制代码位数为 位。 ( ) A.5 B.6 C.10 D.50 6、在下列逻辑电路中,不是组合逻辑电路的是 。 ( ) A.译码器 B.编码器 C.全加器 D.寄存器 7、欲使JK 触发器按01 =+n Q 工作,可使JK 触发器的输入端 。 ( ) A.1==K J B.Q J =,Q K = C.Q J =,Q K = D.0=J ,1=K 8、同步时序电路和异步时序电路比较,其差异在于两者 。 ( ) A.没有触发器 B.是否有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 9、8位移位寄存器,串行输入时经 个脉冲后,8位数码全部移入寄存器中。 ( ) A.1 B.2 C.4 D.8 10、555定时器D R 端不用时,应当 。 ( ) A.接高电平 B.接低电平 C.通过F μ01.0的电容接地 D.通过小于Ω500的电阻接地 二、填空题 1、当传送十进制数5时,在8421奇校验码的校验位上值应为 。 2、(35.625)10=( )2=( )8=( )16 3、用反演律求函数D A D C ABC F ++=的反函数(不用化简)=F 。 4、消除竟争冒险的方法有 、 、 等。 5、触发器有 个稳态,存储8位二进制信息要 个触发器。 1 & A B C F 11

数字电子技术-复习选择填空题汇总(精简)

一、 选择题: 1、在下列逻辑电路中,不是组合逻辑电路的是( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 2、下列触发器中没有约束条件的是( D ) A 、基本RS 触发器 B 、主从RS 触发器 C 、同步RS 触发器 D 、边沿D 触发器 3、555定时器不可以组成 D 。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K 触发器 4、编码器(A )优先编码功能,因而( C )多个输入端同时为1。 A 、有 B 、无 C 、允许 D 、不允许 5、( D )触发器可以构成移位寄存器。 A 、基本RS 触发器 B 、主从RS 触发器 C 、同步RS 触发器 D 、边沿D 触发器 6、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K 触发器 B. R-S 触发器 C. D 触发器 D. T 触发器 7、十进制数6在8421BCD 码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000 8、在图所示电路中,使__ A Y 的电路是---------------------------------------------( A ) A. ○1 B. ○2 C. ○3 D. ○4 9、接通电源电压就能输出矩形脉冲的电路是------------------------------------------( D ) A. 单稳态触发器 B. 施密特触发器 C. D 触发器 D. 多谐振荡器 10、多谐振荡器有-------------------------------------------------------------------------------( C ) A. 两个稳态 B. 一个稳态 C. 没有稳态 D. 不能确定 11、已知输入A 、B 和输出Y 的波形如下图所示,则对应的逻辑门电路是-------( D ) A. 与门 B. 与非门 C. 或非门 D. 异或门 12、下列电路中属于时序逻辑电路的是------------------------------------------------------( B ) A. 编码器 B. 计数器 C. 译码器 D. 数据选择器 13、在某些情况下,使组合逻辑电路产生了竞争与冒险,这是由于信号的---------( A ) A. 延迟 B. 超前 C. 突变 D. 放大

数字电路与系统设计课后习题答案

1、1将下列各式写成按权展开式: (352、6)10=3×102+5×101+2×100+6×10-1 (101、101)2=1×22+1×20+1×2-1+1×2-3 (54、6)8=5×81+54×80+6×8-1 (13A、4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1、2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1、3二进制数00000000~11111111与0000000000~1111111111分别可以代表多少个数?解:分别代表28=256与210=1024个数。 1、4将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1、5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1、6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1、7将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1、8转换下列各数,要求转换后保持原精度: 解:(1、125)10=(1、0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 (0110、1010)余3循环BCD码=(1、1110)2 1、9用下列代码表示(123)10,(1011、01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011、01)2=(11、25)10=(0001 0001、0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011、01)2=(11、25)10=(0100 0100、0101 1000)余3BCD 1、10已知A=(1011010)2,B=(101111)2,C=(1010100)2,D=(110)2 (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。解:(1)A+B=(10001001)2=(137)10 A-B=(101011)2=(43)10 C×D=(111111000)2=(504)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。 1、11试用8421BCD码完成下列十进制数的运算。 解:(1)5+8=(0101)8421BCD+(1000)8421BCD=1101 +0110=(1 0110)8421BCD=13

数字电路试题汇总

逻辑门电路(158) 一、填空题3.1 4.3.1. 1.与门是反向逻辑门。 (× ) 2.或非门是反向逻辑门。 ( √ ) 3.当一个逻辑门被禁止时,它被激活,允许将一个信号传到输出端。(× ) 4.当一个二输入与门被一个输入端的逻辑0信号禁止时,它的另一个输入端将成为无关输入。( √ ) 5.逻辑门对与门而言是一个 禁止信号 6.逻辑门对与门而言是一个 使能信号 7.对于一个二输入或非门而言,如果A =0,B =1,则输出电平应该是输入 逻辑0 8.数字电路中的三极管在( )区只是一种过渡状态。 放大区 9.影响二极管开关速度的主要因素是由于( )时间的存在。 P N 结内部结电容 10.正逻辑或门可以是负逻辑( )门电路。 与 11.在数字电路中,晶体三极管工作在( )状态。 开关 12.正逻辑的约定是高电平为0,低电平为1 。 (× ) 13.用双极性三极管组成开关电路其饱和工作状态的条件是( A )。 A.β/I I CS B > B.V V BE 6.0= C.I I C B < D. I I B ceo ≤ 14.在数字电路中,高电平代表逻辑“1”状态,低电平代表逻辑“0”状态。 (×) 15.与条件语句中至少需要( )个条件。2

16.或条件语句中至少需要()个条件。2 17.4输入与门有()种可能的输入状态组合。16 18.对于5输入与门,其真值表有()行。32 19.与门使用矩形符号表示时,其标志符是()。& 20.对于4输入或门而言,有()种可能的输入状态组合。16 21.5输入或门的真值表有()列。5 22.或门使用矩形符号表示时,其标志符是()。≥1 23.非门有()个输入。1 24.非门使用矩形符号表示时,其标志符是()。1 25.与逻辑门相关的两种错误是()。开路或短路 26.I C中常见的内部错误是()。开路 27.如果向与非门输入数字波形,则什么情况下输出为低电平? 答案:当所有输入都是高电平时,与非门输出为低电平。 28.对于5输入的与非门,有()种可能的输入状态组合。32 29.对于4输入与非门,其真值表有()列。5 30.对于8输入与非门,所有可能的输入状态组合有()组输入状态能够输 出低电平。一组 31.什么情况下或非门的输出为逻辑低电平? 答案:只要有一个或多个输入为高电平,则或非门输出为低电平 32 .对于4输入的或非门,有()种可能的输入状态组合。16 33.对于5输入与或非门,其真值表有()行。32 34.如果向或非门输入数字波形,则什么情况下输出为低电平? 答案:任有一个输入波形为高电平时,或非门输出为低电平。 35.或门和非门应该如何连接才能搭建出或非门? 答案:把或非门的输出端接到非门的输入端 36.如何正确连接未使用的与非门输入? 答案:通过上拉电阻将未使用的与非门输入接到V C C. 37.如何正确连接未使用的或非门输入? 答案:将未使用的或非门输入接到地。 38.什么是“上拉”电阻? 答案:上拉电阻是将某点与V C C连接起来的电阻,它使得该点为高电平。 39.I C门中较常见的是()。开路

数字电子技术试卷试题答案汇总(完整版)

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础试卷试题答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规 则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与 非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方 程 , 主从JK 触发器的特性方程 ,D 触发器的特性方 程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲 同时加到所有触发器上 C 、异步计数器不需要计数脉冲的控制 8、下列说法是正确的是 ( )

数字电路试题及答案96992

1)“0”的补码只有一种形式。 (√ ) 2)卡诺图中,两个相邻的最小项至少有一个变量互反。 (√ ) 3)用或非门可以实现3种基本的逻辑运算。 (√ ) 4)三极管饱和越深,关断时间越短。 (X ) 5)在数字电路中,逻辑功能相同的TTL 门和CMOS 门芯片可以互相替代使用。 (X ) 6)多个三态门电路的输出可以直接并接,实现逻辑与。 (X ) 7)时钟触发器仅当有时钟脉冲作用时,输入信号才能对触发器的状态产生影响。 (√ ) 8)采用奇偶校验电路可以发现代码传送过程中的所有错误。 (X ) 9)时序图、状态转换图和状态转换表都可以用来描述同一个时序逻辑电路的逻辑功能,它们之间可以相互转换。 (√ ) 10)一个存在无效状态的同步时序电路是否具有自启动功能,取决于确定激励函数时对无效状态的处理。 (√ ) 二.选择题(从下列各题的备选答案中选出1个或多个正确答案,将其填在括号中。共10分) 1. 不能将减法运算转换为加法运算。 ( A ) A .原码 B .反码 C .补码 2.小数“0”的反码可以写为 。 (AD ) A .0.0...0 B .1.0...0 C .0.1...1 D .1.1 (1) 3.逻辑函数F=A ⊕B 和G=A ⊙B 满足关系 。 (ABD ) A .F=G B .F ’=G C .F ’=G D .F =G ⊕1 4.要使JK 触发器在时钟脉冲作用下,实现输出n n Q Q =+1,则输入端信号应为 。 (B ) A .J =K =0 B .J =K =1 C .J =1,K =0 D .J =0,K =1 5.设计一个同步10进制计数器,需要 触发器。 (B ) A .3个 B .4个 C .5个 D .10个 三.两逻辑函数BCD A D C BC CD B D C A AB F ++++=)(1,D C D B A F ⊕⊕=2,求两者的关系。(10分) 解:两函数相等,∑(0,3,4,7,11,12) 四.用与非门-与非门电路实现逻辑函数C B B A F ⊕+⊕=。(10分)

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

清华大学数字电路汇总题库

清华大学数字电路题库 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ)

B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A +

数字电子技术基础-第四版-课后答案6

第六章 脉冲波形的产生和整形 [题6.1] 用施密特触发器能否寄存1位二值数据,说明理由。 [解] 不能,因为施密特触发器不具备记忆功能。 [题6.2] 在图P6.2(a )所示的施密特触发器电路中,已知Ω=k R 101,Ω=k R 302。G 1和G 2为CMOS 反相器,V DD =15V。 (1)试计算电路的正向阈值电压VT+、负向阈值电压VT-和回差电压△V T 。 (2)若将图P6.2(b )给出的电压信号加到P6.2(a )电路的输入端,试画出输出电压的波形。 [解] (1) V V V R R V TH T 1021530101121=???? ??+=???? ??+=+ V V V R R V TH T 521530101121=???? ??-=???? ??-=- V V V V T T T 5=-=?-+ (2) 见图A6.2。 [题6.3] 图P6.3是用CMOS 反相器接成的压控施密特触发器电路,试分析它的转换 电平VT+、V T- 以及回差电压△VT 与控制电压VCO 的关系。

[解] 设反相器G 1输入端电压为,I υ'则根据叠加定理得到 3123102132132132//////////// R R R R R R R R R R V R R R R R CO I I +++++='υυυ (1)在I υ升高过程中00=υ。当升至TH I V ='υ时,+=T I V υ,因而得到 2132132132////////R R R R R V R R R R R V V CO T TH +++=+ 3232121321////////R R R R R R R R R R V V V CO TH T +???? ??+-=+CO TH V R R R R R R V 3121311-???? ??++= (2)在I υ降低过程中DD 0V =υ。当降至TH I V ='υ时,-=T I V υ,于是可得 312312132132132////////////R R R R R V R R R R R V R R R R R V V DD CO T TH +++++=- 323213123121321////////////R R R R R R R R R R V R R R R R V V V DD CO TH T +???? ??+-+-=- CO TH V R R R R R R V 3121311-???? ??-+= (3) DD TH T T T V R R V R R V V V 21212==-=?-+(与V CO 无关) 根据以上分析可知,当Vco 变小时,V T+ 和V T- 均增大,但回差电压△V T 不变。 [题6.4] 在图P6.4施密特触发器电路中,若G 1和G 2为74LS 系列与非门和反相器它们的阈值电压V TH =1.1V ,R 1=1K Ω,二极管的导通压降V D =0.7V ,试计算电路的正向阈值电压V T+、负向阈值电压V T - 和回差电压△V T 。 [解] (1) 01 ,0===O O I υυυ,。 )(212D I I V R R R -+='υυ υI 增加,υI ˊ也增加,当υI = V T+ 时,υI ˊ=V TH =1.1V ,即 )(212D T TH V V R R R V -+=+

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础 试题库及答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方程 , 主从JK 触发器的特性方程 ,D 触发器的特性方程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲同

时加到所有触发器上 C、异步计数器不需要计数脉冲的控制8、下列说法是正确的是() A、施密特触发器的回差电压ΔU=U T+-U T- B、施密特触发器的回差电压越大,电 路的抗干扰能力越弱 C、施密特触发器的回差电压越小,电路的抗干扰能力越强 9、下列说法正确的是() A、多谐振荡器有两个稳态 B、多谐振荡器有一个稳态和一个暂稳态 C、多谐振荡器有两个暂稳态 10、下列说法正确的是() A、555定时器在工作时清零端应接高电平 B、555定时器在工作时清零端应接低电平 C、555定时器没有清零端 三、判断题(每题1分,共10分) 1、A+AB=A+B () 2、当输入9个信号时,需要3位的二进制代码输出。() 3、单稳态触发器它有一个稳态和一个暂稳态。() 4、施密特触发器有两个稳态。() 5、多谐振荡器有两个稳态。() 6、D/A转换器是将模拟量转换成数字量。() 7、A/D转换器是将数字量转换成模拟量。() 8、主从JK触发器在CP=1期间,存在一次性变化。() 9、主从RS触发器在CP=1期间,R、S之间不存在约束。() 10、所有的触发器都存在空翻现象。() 四、化简逻辑函数(每题5分,共10分) 1、 2、Y(A,B,C,)=∑m(0,1,2,3,4,6,8,9,10,11,14) 五、画波形图(每题5分,共10分) 1、 2、 六、设计题(每题10分,共20分)

数字电子技术第五版阎石 第五版第6章的 习题答案

第六章习题课后 一、选择题 1.PROM和PAL的结构是。 A.PROM的与阵列固定,不可编程 B. PROM与阵列、或阵列均不可编程 C.PAL与阵列、或阵列均可编程 D. PAL的与阵列可编程 2.PAL是指。 A.可编程逻辑阵列 B.可编程阵列逻辑 C.通用阵列逻辑 D.只读存储器3.当用异步I/O输出结构的PAL设计逻辑电路时,它们相当于。 A.组合逻辑电路 B.时序逻辑电路 C.存储器 D.数模转换器 4.PLD器件的基本结构组成有。 A.输出电路 B.或阵列 C. 与阵列 D. 输入缓冲电路 5.PLD器件的主要优点有。 A.集成密度高 B. 可改写 C.可硬件加密 D. 便于仿真测试 6.GAL的输出电路是。 A.OLMC B.固定的 C.只可一次编程 D.可重复编程 7.PLD开发系统需要有。 A.计算机 B. 操作系统 C. 编程器 D. 开发软件 8.只可进行一次编程的可编程器件有。 A.PAL B.GAL C.PROM D.PLD 9.可重复进行编程的可编程器件有。 A.PAL B.GAL C.PROM D.ISP-PLD 10.ISP-PLD器件开发系统的组成有。 A.计算机 B.编程器 C.开发软件 D.编程电缆 11.全场可编程(与、或阵列皆可编程)的可编程逻辑器件有。 A.PAL B.GAL C.PROM D.PLA 12.GAL16V8的最多输入输出端个数为。 A.8输入8输出 B.10输入10输出 C.16输入8输出 D.16输入1输出

13一个容量为1K×8的存储器有个存储单元。 A.8 B. 8192 C.8000 D. 8K 14.要构成容量为4K×8的RAM,需要片容量为256×4的RAM。 A. 8 B.4 C. 2 D.32 15.寻址容量为16K×8的RAM需要根地址线。 A. 8 B. 4 C.14 D.16K E. 16 16.RAM的地址码有8位,行、列地址译码器输入端都为4个,则它们的字线加位线共有条。 A.8 B.16 C.32 D.256 17.某存储器具有8根地址线和8根双向数据线,则该存储器的容量为。 A.8×3 B.8K×8 C.256×8 D. 256×256 18.采用对称双地址结构寻址的1024×1的存储矩阵有。 A.10行10列 B.5行5列 C.32行32列 D.1024行1024列 19.随机存取存储器具有功能。 A.读/写 B.无读/写 C.只读 D.只写 20.欲将容量为128×1的RAM扩展为1024×8,则需要控制各片选端的辅助译码器的输出端数为。 A.1 B.2 C.3 D.8 21.欲将容量为256×1的RAM扩展为1024×8,则需要控制各片选端的辅助译码器的输入端数为。 A.4 B.2 C.3 D.8 22.只读存储器ROM在运行时具有功能。 A.读/无写 B.无读/写 C.读/写 D.无读/无写 23.只读存储器ROM中的内容,当电源断掉后又接通,存储器中的内容。 A.全部改变 B.全部为0 C.不可预料 D.保持不变 24.随机存取存储器RAM中的内容,当电源断掉后又接通,存储器中的内容。 A.全部改变 B.全部为1 C.不确定 D.保持不变 25.一个容量为512×1的静态RAM具有。 A.地址线9根,数据线1根 B.地址线1根,数据线9根 C.地址线512根,数据线9根 D.地址线9根,数据线512根 26.用若干RAM实现位扩展时,其方法是将相应地并联在一起。

数字电子技术基础简明教程第三版4-6章(含答案)

第四章(选择、判断、填空共30题) 一、选择题 1.N个触发器可以构成能寄存位二进制数码的寄存器。 A.N-1 B.N C.N+1 D.2N 2.在下列触发器中,有约束条件的是。 A.主从J K F/F B.主从D F/F C.同步R S F/F D.边沿D F/F 3.一个触发器可记录一位二进制代码,它有个稳态。 A.0 B.1 C.2 D.3 E.4 4.存储8位二进制信息要个触发器。 A.2 B.3 C.4 D.8 5.对于T触发器,若原态Q n=0,欲使新态Q n+1=1,应使输入T=。 A.0 B.1 C.Q D.Q 6.对于T触发器,若原态Q n=1,欲使新态Q n+1=1,应使输入T=。 A.0 B.1 C.Q D.Q 7.对于D触发器,欲使Q n+1=Q n,应使输入D=。 A.0 B.1 C.Q D.Q 8.对于J K触发器,若J=K,则可完成触发器的逻辑功能。 A.R S B.D C.T D.Tˊ 9.欲使J K触发器按Q n+1=Q n工作,可使J K触发器的输入端。 A.J=K=0 B.J=Q,K=Q C.J=Q,K=Q D.J=Q,K=0 E.J=0,K=Q 10.欲使J K触发器按Q n+1=Q n工作,可使J K触发器的输入端。 A.J=K=1 B.J=Q,K=Q C.J=Q,K=Q D.J=Q,K=1 E.J=1,K=Q 11.欲使J K触发器按Q n+1=0工作,可使J K触发器的输入端。 A.J=K=1 B.J=Q,K=Q C.J=Q,K=1 D.J=0,K=1 E.J=K=1 12.欲使J K触发器按Q n+1=1工作,可使J K触发器的输入端。 A.J=K=1 B.J=1,K=0 C.J=K=Q D.J=K=0 E.J=Q,K=0 13.欲使D触发器按Q n+1=Q n工作,应使输入D=。 A.0 B.1 C.Q D.Q 14.下列触发器中,克服了空翻现象的有。 A.边沿D触发器 B.主从R S触发器 C.同步R S触发器 D.主从J K触发器 15.下列触发器中,没有约束条件的是。

相关主题
文本预览
相关文档 最新文档