当前位置:文档之家› PWM信号发生器的研制

PWM信号发生器的研制

PWM信号发生器的研制
PWM信号发生器的研制

专业课程设计报告

题 目:

PWM 信号发生器的研制

南昌航空大学信息工程学院

2014 年6 月21日

姓 名: 赵清

专 业:

通信工程

班级学号: 11042301 同 组 人 : 余秋杰

指导教师:

刘敏

专业课程设计任务书2013-2014学年第 2 学期第 16 周- 19 周

题目PWM信号发生器的研制

内容及要求

(1)采用定时/计数器8253;

(2)PWM信号的工作频率为500Hz;

(3)占空比可变且显示占空比。

显示器单片机定时/计数器整形PWM

进度安排

第16周:查阅资料,确定方案,完成原理图设计及仿真;

第17周:领取元器件、仪器设备,制作、焊接电路;

第18周:调试电路,完成系统的设计;

第19周:检查设计结果、撰写课设报告。

学生姓名:赵清、余秋杰

指导时间:第16~19周指导地点:E楼603室任务下达2014年 6 月2 日任务完成2014年6月27日

考核方式 1.评阅□√ 2.答辩□ 3.实际操作□√ 4.其它□指导教师刘敏系(部)主任李忠民

摘要

PWM(Pulse Width Modulation)又称脉冲宽度调制,属于脉冲调制的一种。PWM 技术广泛运用于各种工业电力传动领域乃至家电产品中。本文主要介绍了PWM信号发生器的概念、作用及定义,分析了系统的工作原理和软硬件的设计。主要是以AT89C51单片机为核心控制单元,通过对外围电路芯片的设计实现PWM输出波形的频率、电压幅值、占空比的连续调节,达到产生PWM信号目的。

关键字:控制单元、脉冲信号、占空比

目录

第一章系统组成与工作原理................................................. 错误!未定义书签。

1.1 系统组成 ........................................................................ 错误!未定义书签。

1.2 工作原理 ........................................................................ 错误!未定义书签。第二章硬件电路方案设计..................................................... 错误!未定义书签。

2.1 设计方案的选择 ............................................................ 错误!未定义书签。

2.1.1 方案一 ....................................................................... 错误!未定义书签。

2.1.2 方案二 ....................................................................... 错误!未定义书签。

2.2 单元电路设计 (2)

2.2.1 振荡电路 (2)

2.2.2 复位电路 (3)

2.2.3 按键电路 ................................................................. 错误!未定义书签。

2.2.4 显示电路 ................................................................. 错误!未定义书签。第三章软件设计 ..................................................................... 错误!未定义书签。

3.1 编程语言的选择 ............................................................ 错误!未定义书签。

3.2 采用C语言的软件设计 ............................................... 错误!未定义书签。

3.2.1 设计流程图 (5)

3.2.2 定时/计数器的程序设计 (6)

3.2.3 按键及延时程序设计 (6)

3.2.4 显示模块程序设计 .................................................. 错误!未定义书签。

3.3 采用VHDL语言的软件设计 ....................................... 错误!未定义书签。

3.3.1 基本设计思想 (8)

3.3.2 设计流程图 .............................................................. 错误!未定义书签。

3.3.3 主要程序代码 (9)

第四章系统的调试与分析 (10)

4.1 主要仪器和工具 (10)

4.2 调试过程 (10)

4.3 测试结果与分析 (10)

结论 (13)

参考文献 (14)

附录 (15)

第一章 系统的组成及工作原理

1.1 系统组成

系统由90C51单片机核心控制单元、定时/计数模块和译码显示等部分组成。各个部分都在整个电路中起到不可缺少的作用,但又起到各自不同的功能,把它们互相之间配合起来组成整个系统,实现产生PWM 波的功能。如图1-1。

图1-1 系统功能组成图

1.2 工作原理

PWM 信号发生器是通过90C51单片机对显示器模块和定时/计数器模块的控制,采用软件编程的方法,通过改变给计数器的写入值产生一系列幅值相等而宽度不等的脉冲信号,再通过内部整形,产生规则的PWM 脉冲波形,同时数码管显示相应的占空比。

显示器

单片机

定时/计数器

PWM

第二章 硬件电路方案设计

2.1 设计方案的选择

2.1.1 方案一

采用51单片机T0/T1定时,通过软件编程产生PWM 脉冲信号。以90C51单片机为核心控制单元,采用计数法和软件延时法,以及与按键相配合。当有按键按下后,通过键盘扫描将所按键值作为PWM 信号的的占空比输入,经软件程序处理后实现PWM 信号的占空比可变,并在数码管上显示相应的占空比。 2.1.2 方案二

采用可编程芯片8253的计数器0作为PWM 信号发生器,令8253的计数器0工作在可重复触发单稳态方式1下,它的输出口OUT0产生宽度可调的PWM 信号脉冲,该输出脉冲宽度为:

f N W /= 公式(2-1)

输出的占空比为:

)/(*1N f W P = 公式(2-2)

两式中,W 为输出脉冲宽度,单位是秒;而W 1为一个周期内高电平的脉冲宽

度,P 为占空比;f 为计数器时钟信号的频率;N 为单片机为其置入的计数值。GATE0上所加的信号频率决定了PWM 波的频率;在计数器0的GATE0端输入方波,该方波的频率由计数器1的OUT1端口输出产生,再由90C51的ALE 锁存信号给CLK1和CLK0同步的时钟脉冲,最终通过改变计数器值N 产生连续可调的PWM 信号。

分析与选择:由于单片机本身内部具有计数及定时功能,方案一与方案二相比,方案一直接使用单片机内部芯片功能产生PWM 脉宽调制波形算法实现简单,成本低廉。由于条件的限制,无8253芯片可供使用,同时为了简化电路和便于调试,故选择方案一。

2.2 单元电路设计

2.2.1 振荡电路

单片机需要不断地提供时钟脉冲,这个时钟脉冲就是由振荡电路提供的,它是由一个石英晶振、两个反馈电容组成。晶振频率多在1.2MHz ~12MHz 之间选取,而本次专业课设用的晶振频率是12MHz 。1个机器周期包括12个时钟周期,则时钟周期是1/12μs ,故机器周期为1μs 。振荡电路如图2.1所示。

图2.1 振荡电路图

2.2.2 复位电路

单片机通常需要复位以后才能正常工作,复位的目的就是使单片机处于一个基准点,在这个基准点,程序将会从C51的MAIN主行数的第一条语句开始执行。复位的工作过程是这样的:当单片机RST引脚接收到2μs以上的电平信号,并且保证电容的充放电时间大于2μs,便可实现复位,因此电路中的电容值是可以改变的。当复位键按下时,系统复位,此时电容处于一个短路状态,电能全部被释放,电流经过电阻对电解电容充电,这样在电阻上就形成了一个电压,对于单片机来说,这个电压就是复位电压。如图2.2所示。

图2.2 复位电路图

2.2.3 按键电路

按键电路如图2.3所示。

图2.3 按键电路

2.2.4 显示电路

本次设计使用的单片机数码管是两个4位一体的共阴数码管,如图2.4所示。其中还有一个737译码器和一个138译码器,其作用分别是完成数码管段选和数码管位选。

图2.4 显示电路

第三章软件设计

3.1 编程语言的选择

90C51单片机可识别多种编程语言。C语言是一种结构化语言,在大多数情况下其机器代码生成效率和汇编语言相当,而且相对简洁,普及率高。本次设计主要选用C语言对51单片机进行软件编程。与此同时,还应用了VHDL语言作为备选语言,并且编程成功。

3.2 采用C语言的软件设计

3.2.1 设计流程图

根据如何改变占空比设计以下流程图如图3.1所示:

开始

输出占空比为50%的方波

N

是否按了key1

Y

输出的占空比增加1%

N

是否按了key2

Y

输出的占空比增加1%

图3.1 设计流程图

3.2.2 定时/计数器的程序设计

本部分设计主要采用分频的思想,分频实际就是一个计数的过程,通过计数个数来控制输出高低电平的时间。

打开C51的计数中断模式,设置定时器的工作方式TMOD,设置初值TH0、TL0。设置TMOD=0x01,为16位定时器模式。由于本次要求的频率为500Hz,则定时间隔为0.02ms,1个周期包含100个定时间隔,即2ms。

TL0 = (65536-20) % 256; //计数器定时0.02ms,12MHz晶振

TH0 = (65536-20) / 256;

a++;

if(a == 100)

a = 0; //当a计到100时置零

if(a>b)

output=0; //当a>b时输出低电平

if(a

output=1; //当a

3.2.3 按键及延时程序设计

通常的按键按下会产生抖动现象,不能安全有效的对系统控制。为确保按键的闭合准确而有效,必须去除键抖动。此次设计中,采用两个独立按键分别控制占空比增加1%和减小1%。其程序如下:

if(key1==0)

{

delay(10); //10ms的延时的作用是消除按键抖动

if(key1==0) //每按一次key1,占空比加1

b++;

while(!key1);

}

if(key2==0)

{

delay(10);

if(key2==0) //每按一次key2,占空比减1

b--;

while(!key2);

if(b<0)

b=0; }

延时模块在此的作用是消除按键抖动。具体的延时程序如下:

void delay(uint xms)

{

uint i,j;

for(i=xms;i>0;i--)

for(j=12;j>0;j--);

}

在程序代码中输入对应的值就可以延时,如:delay(3)就表示延时3ms。

3.2.4 显示模块的程序设计

本次设计占空比的精度为1%,范围是0%-99%。

首先定义一个表:

unsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};

表中对应的数字依次为0到9的16进制表示。

整体显示程序体如下:

uchar shi,ge;

shi=(100-b)/10;

ge=(100-b)%10;

dula=1; //与后面dula=0一高一低进行锁存

P1=table[shi]; //调取表中的值,显示十位

dula=0;

P0=0xfd;

delay(1);

dula=1;

P1=table[ge]; //调取表中的值,显示个位

dula=0;

P0=0xfe;

delay(1);

3.3 采用VHDL语言的软件设计

3.3.1 基本设计思想

考虑到设计所要的PWM信号一个周期之内只有两个不同的持续状态,故可以使用有限状态机来实现电平的切换,切换条件就是输入的占空比,一个状态就是一个电平,因此通过状态转换就可以实现电平的翻转,产生矩形波,再通过改变输入的占空比就可以改变生成信号的脉冲宽度。

3.3.2 设计流程图

用有限状态机设计PWM信号发生器的状态之间的转换及转换条件如图3.2所示:

图3.2 有限状态机方法产生PWM信号状态转换

有限状态机的进程分为两个,如图3.3所示即为有限状态机方法产生PWM信号流程图中的状态译码和输出译码部分流程图:

图3.3状态译码和输出译码进程流程图

图3.4所示为有限状态机产生PWM信号的第二个进程—时序逻辑进程的流程图:

图3.4 时序逻辑进程流程图

3.3.3 主要程序代码

if reset='0' then count<="0000000";current_state<=st1; --异步复位

elsif (clk'event and clk='1') then current_state<=next_state; --状态转换

count<=count+1;

if count>="1100100" then count<="0000000"; --计数器清零

end if;

process(current_state,count) --组合逻辑进程(状态译码和输出译码)case current_state is --确定当前状态的状态值

when st1=>pwm<='1'; --初始状态译码输出

if count

elsenext_state<=st0;

end if;

when st0=>pwm<='0';

if count>=perc and count<"1100100" then next_state<=st0; --转换到第二个状态elsenext_state<=st1;

第四章系统的调试与分析

4.1 主要仪器和工具

单片机开发板一块

数字示波器一台

连线若干

4.2 调试过程

在Keil软件中进行源程序编译及仿真调试,确认程序没有语法错误之后载入单片机进行功能调试。

为满足要求,达到500Hz的频率,最初把占空比的精度确定在10%。但由于精确度不足,调试效果不理想,故调整为1%的精确度,而此时频率为250Hz左右。为保证占空比的精确度,最终决定采用后者。

由于示波器本身的仪器自带误差,当占空比为1%时,无法准确显示波形。4.3 测试结果与分析

下载完成后,将单片机P2.6口接到373锁存器的使能端,再将P0接到数码管的位选接口,将P1接到段选接口。最后把单片机P2.0口连接示波器的通道1上。打开电源后,观察波形。波形图和实物图如图4.1和4.2。

可以观察到占空比为50%的波形图。

图4.1 占空比为50%的波形图图4.2实物连接图

之后通过按键继续调节占空比,占空比分别为78%,98%,2%和32%。如图4.3-4.10所示。

图4.3 占空比为78%的波形图图4.4 实物连接图

图4.5 占空比为98%的波形图图4.6 实物连接图

图4.7 占空比为2%的波形图图4.8 实物连接图

图4.9 占空比为32%的波形图 图4.10 实物连接图

第五章结论

本次PWM波信号发生器的研制基本达到了设计要求,可以实现精确度为1%的占空比可调。各个单片机模块都实现得较好,将一个总的系统分成若干个基本模块进行分步设计,最后将这些模块连接起来是基本的设计思想。

本课程设计的实现方式是软件编程,经过用C语言和keil软件设计PWM信号发生器,不难发现相对于硬件来说使用软件实现功能的优越性。首先,软件实现过程中有微小错误时可以反复修改,而硬件则不可以这么随意修改,因为一旦焊接好电路,很难再次修改;其次,使用语言编程弹性大,可以有很大的延伸空间,实现方案也比较多,硬件实现则做不到这一点;最后,软件设计现象直观明了,测试相对硬件来讲比较简单。然而,使用软件也有不好的方面,程序编写者更容易忽略芯片的使用与焊接等,而且相对而言,硬件操作更容易可以锻炼一个人的耐心和细心。

PWM信号发生器的研制具有实质性的意义,脉冲宽度调制控制技术在电力电子装置中应用也十分广泛,因而研究PWM信号的产生对通信技术以及其他领域的发展具有重要意义。

参考文献

[1] 夏继强.单片机实验与实践教程[M].北京:北京航天航空大学出版社,2001

[2] 谭浩强.C程序设计[M].北京:清华大学出版社,2008

[3] 张先庭.单片机原理、接口与C51应用程序设计[M].北京:国防工业出版社,2011

[4] 马凌.现代电子技术[J].西安:陕西电子杂志社,2006

附录

系统程序代码:

#include

#define uchar unsigned char

#define uint unsigned int

unsigned int a,b;

sbit dula=P2^6;

sbit output=P2^0;

sbit key1=P2^2;

sbit key2=P2^1;

unsigned char code table[] =

{0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};

void display();

void delay(uint);

void keyscan()

{

if(key1==0)

{

delay(10); //10ms的延时用来消除按键抖动

if(key1==0) //每按一次key1,占空比加1(%)b++;

while(!key1);

}

if(key2==0) //每按一次key2,占空比减1(%)

{

delay(10);

if(key2==0)

b--;

while(!key2);

if(b<0)

b=0;

}

}

void main()

{

TMOD=0x01; //T0定时方式1

TH0=(65536-10)/256;

TL0=(65536-10)%256;

ET0=1; EA=1;

IT0=1; TR0=1;

a=50;

b=100;

while(1)

{

if(b>100)

b=0;

if(b<0)

b=0;

keyscan();

display();

}

}

void time0() interrupt 1

{

TL0 = (65536-10) % 256; //计数器定时0.02ms,12MHz晶振

TH0 = (65536-10) / 256;

a++;

if(a == 100) //当a计到100时就置0

a = 0;

if(a>b)

output=0; //当a>b时输出低电平

if(a

(完整word版)信号发生器的发展过程及现状

信号发生器的发展过程及现状 1信号发生器的发展 信号发生器是一种常用的信号源,广泛应用于电子电路、自动控制和科学试验等领域。它是一种为电子测量和计量工作提供符合严格技术要求的电信号设备。因此,信号发生器和示波器、电压表、频率计等仪器一样是最普通、最基本的,也是应用最广泛的电子仪器之一,几乎所有的电参量的测量都需要用到信号发生器。 自六十年代以来,信号发生器有了迅速的发展,出现了函数发生器、扫频信 号发生器、合成信号发生器、程控信号发生器等新种类。各类信号发生器的主要 性能指标也都有了大幅度的提高,同时在简化机械结构、小型化、多功能等各方 面也有了显著的进展。 近年来随着GSM、GPRS、3G、B1ueTooth乃至己经被提出的标准的4G等移动通信以及LMDS、无线本地环路等无线接入的发展,同时加上合成孔径雷达、多普勒冲雷达等现代军事、国防、航空航天等在科技上的不断创新与进步,世界各国非常重视频率合成器的发展。所有的这些社会需求以及微电子技术、计算机技术、信号处理技术等本身的不断进步都极大刺激了频率合成器技术的发展。可以预料,随着低价格、高时钟频率、高性能的新一代DDS芯片的问世,DDS的应用前景将不可估量! 2 频率合成技术发展过程 频率合成技术起源于二十世纪30年代,至今己有六十多年的历史。所谓频率合成就是将具有低相位噪声、高精度和高稳定度等综合指标的参考频率源经过电路上的混频、倍频或分频等信号处理以便对其进行数学意义上的加、减、乘、除等四则运算,从而产生大量具有同样精确度的频率源。实现频率合成的电路叫频率合成器,频率合成器是现代电子系统的重要组成部分。在通信、雷达和导航等设备中,频率合成器既是发射机的激励信号源,又是接收机的本地振荡器;在电子对抗设备中,它可以作为干扰信号发生器;在测试设备中,可作为标准信号源,因此频率合成器被人们称为许多电子系统的“心脏”。 直接数字频率合成(DDS: Digital Direct Frequency Synthesis)E2]技术是一种新频率合成方法,是频率合成技术的一次革命,Joseph Tierney等3人于1971年提了直接数字频率合成的思想,但由于受当时微电子技术和数字信号处理技术的限制,DDS技术没有受到足够重视,随着电子工程领域的实际需要以及数字集成电路和微电子技术的发展,DDS技术日益显露出它的优越性。 3 直接频率合成技术的现状 直接数字频率合成技术发展到现在,合成信号频率的精确度和频谱的纯度仍然是其今后发展的主要方向。而这方而性能指标的提高,可以从两个方而进行,一是提出更加先进的设计思想和设计理论,发展更加先进的生产工艺,由芯片厂家开发、生产出性能更完善的DDS芯片;二是对于已有成品的DDS芯片,设计

基于AD9850的信号发生器的设计与实现

信号源是电子产品测量与调试、部队设备技术保障等领域的基本电子设备。随着科学技术的发展和测量技术的进步,普通的信号发生器已无法满足目前日益发展的电子技术领域的生产调试需要。而DDS技术是一种新兴的直接数字频率合成技术,具有频率分辨率高、频率切换速度快、切换相位连续、输出信号相位噪声低、可编程、全数字化易于集成、体积小、重量轻等优点,因而在雷达及通信等领域具有广泛的应用前景。 1系统设计方案 本文提出的采用DDS作为信号发生核心器件的全数控函数信号发生器设计方案,根据输出信号波形类型可设置、输出信号幅度和频率可数控、输出频率宽等要求,选用了美国A/D公司的AD9850芯片,并通过单片机程序控制和处理AD9850的32位频率控制字,再经放大后加至以数字电位器为核心的数字衰减网络,从而实现了信号幅度、频率、类型以及输出等选项的全数字控制。该函数信号发生器的结构如图1所示。 本系统主要由单片机、DDS直接频率信号合成器、数字衰减电路、真有效值转换模块、A/D转换模块、数字积分选择电路等部分组成。 2 DDS的基本原理 直接数字频率合成器(Derect Digital Synthesizer)是从相位概念出发直接合成所需波形的一种频率合成技术。一个直接数字频率合成器通常由相位累加器、加法器、波形存储ROM、D/A转换器和低通滤波器(LPF)组成。DDS的组成结构如图2所示。其中,K为频率控制字(也叫相位增量),P为相位控制字,W为波形控制字,fc为参考时钟频率,N为相位累加器的字长,D为ROM数据位及D/A转换器的字长。相位累加

器在时钟fc的控制下以步长K累加,输出的N位二进制码与相位控制字P、波形控制字W相加后作为波形ROM的地址来对波形ROM进行寻址,波形ROM输出的D位幅度码S(n)经D/A转换变成阶梯波S(t)后,再经过低通滤波器平滑,就可以得到合成的信号波形。由于合成的信号波形取决于波形ROM中存放的幅度码,因此,用DDS可以合成任意波形。 3硬件电路设计 3.1 DDS信号产生电路 考虑到DDS具有频率分辨率较高、频率切换速度快、切换相位连续、输出信号相位噪声低、可编程、全数字化、易于集成、体积小、重量轻等优点,该方案选用美国A/D公司的AD9850芯片,并采用单片机为核心控制器件来对DDS输送频率控制字,从而使DDS输出相应频率和类型的信号,其DDS信号产生电路如图3所示。

PWM信号发生器的设计程序(veriloghdl)

PWM信号发生器的设计程序 module pwmgen(clk,rst,ce,addr,write,wrdata,read,bytesel,rddata,pwm); input clk,rst,ce; input [1:0]addr; input write,read; input[31:0]wrdata; output[31:0]rddata; input[31:0]bytesel; output pwm; reg[31:0]clk_div_reg,duty_cycle_reg; reg control_reg; reg clk_div_reg_sel,duty_cycle_reg_sel,control_reg_sel; reg[31:0]pwm_cnt,rddata; reg pwm; wire pwm_ena; always@(addr) begin clk_div_reg_sel<=0;duty_cycle_reg_sel<=0;control_reg_sel<=0; case(addr) 2'b00:clk_div_reg_sel<=1; 2'b01:duty_cycle_reg_sel<=1; 2'b10:control_reg_sel<=1; default: begin clk_div_reg_sel<=0; duty_cycle_reg_sel<=0; control_reg_sel<=0; end endcase end always@(posedge clk or negedge rst) begin if(rst==1'b0) clk_div_reg=0; else begin if(write & ce & clk_div_reg_sel) begin if(bytesel[0]) clk_div_reg[7:0]=wrdata[7:0]; if(bytesel[1]) clk_div_reg[15:8]=wrdata[15:8];

信号发生器的基本原理

信号发生器的基本原理- 信号发生器使用攻略 信号发生器的基本原理 现代信号发生器的结构非常复杂,与早期的简易信号发生器天差地别,但总体基本结构功能单元还是类似的。信号发生器的主要部件有频率产生单元、调制单元、缓冲放大单元、衰减输出单元、显示单元、控制单元。早期的信号发生器都采用模拟电路,现代信号发生器越来越多地使用数字电路或单片机控制,内部电路结构上有了很大的变化。 频率产生单元是信号发生器的基础和核心。早期的高频信号发生器采用模拟电路LC振荡器,低频信号发生器则较多采用文氏电桥振荡器和RC移相振荡器。由于早期没有频率合成技术,所以上述LC、RC振荡器优点是结构简单,可以产生连续变化的频率,缺点是频率 稳定度不够高。早期产品为了提高信号发生器频率稳定度,在可变电容的精密调节方面下了很多功夫,不少产品都设计了精密的传动机构和指示机构,所以很多早期的高级信号发生器体积大、重量重。后来,人们发现采用石英晶体构成振荡电路,产生的频率稳定,但是石英晶体的频率是固定的,在没有频率合成的技术条件下,只能做成固定频率信号发生器。之后 也出现过压控振荡器,虽然频率稳定度比LC振荡器好些,但依然不够理想,不过压控振荡 器摆脱了LC振荡器的机械结构,可以大大缩减仪器的体积,同时电路不太复杂,成本也不高。现在一些低端的函数信号发生器依然采用这种方式。 随着PLL锁相环频率合成器电路的兴起,高档信号发生器纷纷采用频率合成技术,其 优点是频率输出稳定(频率合成器的参考基准频率由石英晶体产生),频率可以步进调节,频率显示机构可以用数字化显示或者直接设置。早期的高精度信号发生器为了得到较小的频率步进,将锁相环做得非常复杂,成本很高,体积和重量都很大。目前的中高端信号发生器 采用了更先进的DDS频率直接合成技术,具有频率输出稳定度高、频率合成范围宽、信号频谱纯净度高等优点。由于DDS芯片高度集成化,所以信号发生器的体积很小。 信号发生器的工作频率范围、频率稳定度、频率设置精度、相位噪声、信号频谱纯度都与频率产生单元有关,也是信号发生器性能的重要指标。 信号发生器的一大特性就是可以操控仪器输出信号的幅度,信号通过特定组合衰减量的衰减器达到预定的输出幅度。早期的衰减器是机械式的,通过刻度来读取衰减量或输出幅度。现代中高档信号发生器的衰减器单元由单片机控制继电器来切换,向电子芯片化过渡,衰减单元的衰减步进量不断缩小,精度相应提高。大频率范围的高精度衰减器和高精度信号输出属于高科技技术,这也是国内很少有企业能制造高端信号发生器的原因之一。信号发生器的信号输出范围和输出电平的精度和准确度也是标志信号发生器性能的重要指标。

虚拟信号发生器的设计

虚拟信号发生器的设计 (巢湖学院物理与电子科学系王乐07037022) 摘要:虚拟仪器是由一些必要的硬件获取调理信号,并以通用计算机为平台,实现不同测量软件对采集获得信号进行分析处理及显示。它改变了传统电子测量仪器的概念和模式,用户完全可以自己定义仪器的功能和参数,即“软件既是仪器”。计算机技术与网络技术的飞速发展,使得虚拟仪器已经成为现代电子测量仪器发展的趋势。 本文介绍了一种以LabVIEW为开发平台,能够产生正弦波、三角波、方波、锯齿波和任意波测试信号发生器,其平率、幅值、相位、电压偏置等参数可以设置,不但输出波形参数可调、而且可同步显示。本系统通过采用TCP/IP技术来实现远程数据传输功能,当两台计算机设置好端口后,就可以进行数据传输。 与传统仪器相比,本系统具有高效、开放、使用灵活、功能强大、性价比高、可操作性好等明显优点,可用于医疗,工程等精密仪器的测试,具有较强的实用性和开发价值。 关键词:虚拟仪器,Labview,函数信号发生器,网络通信。 The design of virtual signal generator and remotereslization Abstract:The virtual instrument which conditioning signals isgained by some essential hardware.It takes the general-purposecomputer as a platform and the signal is realized through thedifferent measurement software,such as signal’s analyze,processand display etc.The concept and mode of traditional measuringinstruments are changed,the parameters and functions can betransformed by the user,namely,"software is the instrument".Withthe rapid development of computer and network technology,thevirtual instrument has become a developing trend of modernelectronic measuring instruments. In this paper development platform LabVIEW is introduced firstly,then the test signals of Sine,triangle,square sawtooth andarbitrary waveform is described in the virtual signal generator.The functions of signal generator are set,such as frequency,amplitude,phase,voltage bias etc.Not only output parameters canbe adjusted but also the corresponding wave is acquiredsimultaneously in this system. The function of remote datatransmission is performed by TCP/IP technology.Data is transportedwhen the port parameters between two computers areset. Compared with traditional machines,advantages of the virtualinstrument are showed in efficiency,opening,easy using,strongfunction,cost-effective and operation etc.It can be used fortesting of medical and engineering precision instruments. Key words:Virtual instrument,LabVIEW,Function generator,NetworkCommunication 第1章绪论 在有关电参量的测量中,我们需要用到信号源,而信号发生器则为我们提供

PWM信号发生电路

1.PWM信号概述 脉冲宽度调制(PWM)信号广泛使用在电力变流技术中,以其作为控制信号可完成DC-DC变换(开关电源)、DC-AC变换(逆变电源)、AC-AC变换(斩控调压)与AC-DC变换(功率因数校正)。 产生PWM信号的方法有多种,现分别论述如下: 1)普通电子元件构成PWM发生器电路 基本原理就是由三角波或锯齿波发生器产生高频调制波,经比较器产生PWM信号。三角波或锯齿波与可调直流电压比较,产生可调占空比PWM信号;与正弦基波比较,产生占空比按正弦规律变化的SPWM信号。 此方法优点就是成本低、各环节波形与电压值可观测、易于扩展应用电路等。缺点就是电路集成度低,不利于产品化。 2)单片机自动生成PWM信号 基本原理就是由单片机内部集成PWM发生器模块在程序控制下产生PWM 信号。 优点就是电路简单、便于程序控制。缺点就是不利于学生观测PWM产生过程,闭环控制复杂与使用时受单片机性能制约。 3)可编程逻辑器件编程产生PWM信号 基本原理就是以复杂可编程逻辑器件(CPLD)或现场可编程门阵列器件(FPGA)为硬件基础,设计专用程序产生PWM信号。 优点就是电路简单、PWM频率与占空比定量准确。缺点就是闭环控制复杂,产生SPWM信号难度大。 4)专用芯片产生PWM信号 就是生产厂家设计、生产的特定功能芯片。 优点就是使用方便、安全,便于应用到产品设计中。缺点就是不利于学生观测PWM产生过程与灵活调节各项参数。 2.电子元件构成PWM发生器电路

图1电子元件构成PWM发生器电路 3.集成芯片SG3525构成PWM发生器电路 一、PWM信号发生电路说明 实验电路中,驱动开关管的PWM信号由专用PWM控制集成芯片SG3525产生(美国Silicon General公司生产),PWM信号发生器电路如图2所示。 图2 PWM信号发生器电路图 SG3525采用恒频脉宽调制控制方案,内部包含有精密基准源、锯齿波振荡器、误差放大器、比较器、分频器与保护电路等。调节Ur的大小,在OUTA、OUTB

什么是函数信号发生器,函数信号发生器的作用,函数信号发生器的工作原理

什么是函数信号发生器,函数信号发生器的作用,函数信号发生器的工作原 理 什么是函数信号发生器?函数信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。 函数信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。 函数信号发生器的工作原理:函数信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。它能够产生多种波形,如三角波、锯齿波、矩形波、正弦波,所以在生产实践和科技领域中有着广泛的应用。 函数信号发生器系统主要由主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器和指示电压表构成。当输入端输入小信号正弦波时,该信号分两路传输,一路完成整流倍压功能,提供工作电源;另一路进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出,输出端为可调电阻。 函数信号发生器产生的各种波形曲线均可以用三角函数方程式来表示,函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频发射,这里的射频波就是载波,把音频、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。

信号发生器论文(DOC)

函数信号发生器

函数信号发生器 1.概述 1.1 任务说明 1.设计、调试方波、三角波、正弦波发生器 2.输出波形:方波、三角波、正弦波 3..频率范围三段:10~100Hz,100 Hz~1KHz,1 KHz~10 KHz 4.正弦波U≈3V,三角波U≈5V,方波U≈14V 1.2 信号发生器发展现状 随着信息科技的发展,在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,这就需要能产生高频信号的振荡器。 在电子工程中,常常用到正弦信号,作为信号源的振荡电路,主要的要求是频率准确度高、频率稳定性好、波形失真小和振幅稳定度高等。 在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火,超声波焊接,超声诊断,核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。可见,正弦波振荡电路在各个科学技术部门的应用是十分广泛的。 正弦波振荡电路广泛应用于无线电通讯、广播电视,工业上的高频感应炉、超声波发生器、正弦波信号发生器等。正弦波振荡电路用来产生一定频率和幅值的正弦交流信号。它的频率范围很广,可以从一赫以下到几百兆以上;输出功率可以从几毫瓦到几十千瓦;输出的交流电是从电源的直流电转换而来的。 1.3 信号发生器的分类 信号发生器用途广泛、种类繁多,它分为通用信号发生器和专用信号发生器两大类。专用仪器是为某种专用目的而设计制作的,能够提供特殊的测量信号,如调频立体声信号发生器、电视信号发生器等。通用信号发生器应用面广,灵活性好,可以分为以下几类: 1、按发生器输出信号波形分类 按照输出信号波形的不同,信号发生器大致分为正弦信号发生器、函数信号发生器、脉冲信号发生器和随机信号发生器。应用最广泛的是正弦信号发生器。正弦信号是使用最广泛的测试信号。这是因为产生正弦信号的方法比较简单,而且用正弦信号测量比较方便。函数信号发生器也比较常用,这是因为它不仅可以输出多种波形,而且信号频率范围较宽。脉冲信号发生器主要用来测量脉冲数字电路的工作性能和模拟电路的瞬态响应。随机信号发生器即噪声信号发生器,用来产生实际电路和系统中的模拟噪声信号,借以测量电路的噪声特性。 2、按工作频率分类 按照工作频率的不同,信号发生器分为超低频、低频、视频、高频、甚高频、超高频信号发生器。 3、按调制方式分类 按调制方式的不同,信号发生器分为调幅、调频、调相、脉冲调制等类型。

函数通用信号发生器历史发展

历史发展 信号发生器是一种最悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。同时还出现了可用来测量脉冲电路或用作脉冲调制器的脉冲信号发生器。由于早期的信号发生器机械结构比较复杂,功率比较大,电路比较简单,因此发展速度比较慢。直到1964年才出现第一台全晶体管的信号发生器。 自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形,由于模拟电路的漂移较大,使其输出的波形的幅度稳定性差,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形则电路结构非常复杂。自从70年代微处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。软件控制波形的一个最大缺点就是输出波形的频率低,这主要是由CPU的工作速度决定的,如果想提高频率可以改进软件程序减少其执行周期时间或提高CPU的时钟周期,但这些办法是有限度的,根本的办法还是要改进硬件电路。 随着现代电子、计算机和信号处理等技术的发展,极大促进了数字化技术在电子测量仪器中的应用,使原有的模拟信号处理逐步被数字信号处理所代替,从而扩充了仪器信号的处理能力,提高了信号测量的准确度、精度和变换速度,克服了模拟信号处理的诸多缺点,数字信号发生器随之发展起来。 信号发生器的应用非常广泛,种类繁多。首先,信号发生器可以分通用和专用两大类,专用信号发生器主要为了某种特殊的测量目的而研制的,如电视信号发生器、脉冲编码信号发生器等。这种发生器的特性是受测量对象的要求所制约的。其次,信号发生器按输出波形又可分为正弦波信号发生器、脉冲波信号发生器、函数发生器和任意波发生器等。再次,按其产生频率的方法又可分为谐振法和合成法两种。一般传统的信号发生器都采用谐振法,即用具有频率选择性的回路来产生正弦振荡,获得所需频率。但也可以通过频率合成技术来获得所需9-率。利用频率合成技术制成的信号发生器,通常被称为合成信号发生器。 signal is a generator with the longest measuring instruments, as early as the 1920s when the emerging electronic equipment it has. As the communications and radar technology development, 40 in a major test for a variety of standard receiver signal generator so that the signal generator from the qualitative analysis of the test equipment developed into a quantitative analysis of the measuring instruments. At the same time there also can be used to measure pulse circuit or pulse modulator for the pulse generator. Since the early signal generator mechanical structure more complicated, more power, the circuit is relatively simple, relatively slow pace of development. Until 1964 there was the first all-transistor signal generator.

PWM信号发生器的设计报告

前言 脉冲宽度调制(Pulse Width Modulation.PWM)控制技术以其控制简单、灵活和动态响应好的优点而成为电力电子技术和模拟信号数字传输通信领域最广泛应用的控制方式,因此研究基于PWM技术的脉冲宽度及周期可调的信号发生器具有十分重要的现实意义。 本文主要讨论了脉冲占空比可调信号的产生方法,采用三种不同的方案使用VHDL语言编程实现了信号的产生。其中方案一的原理是分频,即用计数器计算时钟脉冲的上升沿个数,再通过输出电平反复翻转得到计数个数(脉冲宽度)可控的PWM 信号;方案二的原理是锯齿波比较法,首先编程产生阶梯状的锯齿波,再通过锯齿波与输入占空比值(数值可控的直线)比较产生脉冲宽度随输入占空比数值变化的PWM 信号;方案三是用有限状态机产生有用信号,首先定义两个状态,再通过计数器值与输入占空比值比较控制状态的切换,产生PWM信号。本文详细介绍方案二和方案三两种方法。 通过使用QuartusII9.0软件采用VHDL语言编程并用功能仿真证实了上文提到的三种PWM信号产生方案都是可行的,都能产生切实可用的PWM信号,三种方案中均可以通过修改输入端口占空比来控制产生信号的脉宽,且可以通过在程序中修改计数器的计数上限和分频模块的分频比改变信号的周期及频率,实现了多参数可调,使整体设计具有灵活的现场可更改性和较好的可移植性。且实现功能的程序简单易懂,设计过程中思路阐述清晰,流程介绍明了,且程序易于修改,可读性好。

第一章设计要求 1.1 研究课题 PWM信号发生器的研制 1.2设计要求 用CPLD可编程模块产生下列信号(特殊芯片:EPM570T100C5) (1)采用VHDL编写相关程序,PWM信号的工作频率为500Hz(1000Hz); (2)时钟信号通过分频器后,由输入开关量控制占空比可调。

函数信号发生器设计报告

函数信号发生器设计报告 目录 一、设计要求 .......................................................................................... - 2 - 二、设计的作用、目的 .......................................................................... - 2 - 三、性能指标 .......................................................................................... - 2 - 四、设计方案的选择及论证 .................................................................. - 3 - 五、函数发生器的具体方案 .................................................................. - 4 - 1. 总的原理框图及总方案 ................................................................. - 4 - 2.各组成部分的工作原理 ................................................................... - 5 - 2.1 方波发生电路 .......................................................................... - 5 - 2.2三角波发生电路 .................................................................... - 6 - 2.3正弦波发生电路 .................................................................. - 7 - 2.4方波---三角波转换电路的工作原理 ................................ - 10 - 2.5三角波—正弦波转换电路工作原理 .................................. - 13 - 3. 总电路图 ....................................................................................... - 15 - 六、实验结果分析 ................................................................................ - 16 - 七、实验总结 ........................................................................................ - 17 - 八、参考资料 ........................................................................................ - 18 - 九、附录:元器件列表 ........................................................................ - 19 -

信号发生器的发展和主要表现

信号发生器的发展和主要表现 信号发生器又称波形发生器,是一种常用的信号源,被广泛地应用于无线电通信、自动测量和自动控制等系统中。传统的信号发生器绝大部分是由模拟电路构成,借助电阻电容,电感电容、谐振腔、同轴线作为振荡回路产生正弦或其它函数波形。频率的变动由机械驱动可变元件完成,当这种模拟信号发生器用于低频信号输出往往需要的RC值很大,这样不但参数准确度难以保证,而且体积和功耗都很大,而由数字电路构成的低频信号发生器,虽然其低频性能好但体积较大,价格较贵。 在今天,随着大规模集成电路和信号发生器技术的发展,许多新型信号发生器应运而生。用信号发生器并配置适当接口芯片产生程控正弦信号,则可替代传统的正弦信号发生器,从而有利于测试系统的集成化、程控化和智能仪表的多功能化。而信号发生器的最大特点是面向控制,由于它集成度高、运算速度快、体积小、运行可靠、价格低,因此在数据采集、智能化仪器等技术中得到广泛的应用,从而使得信号发生器的应用成为工程技术多学科知识汇集的一个专门研究领域,其应用产生了极高的经济效益和社会效益。 信号发生器的发展 单片微型计算机简称信号发生器,是指集成在一块芯片上的计算机,信号发生器的产生与发展和微处理器的产生与发展大体同步,自1971年美国Intel公司首先推出4位微处理器以来,它的发展到目前为止大致可分为5个阶段: 第1阶段(1971~1976):信号发生器发展的初级阶段。发展了各种4位信号发生器, 第2阶段(1976~1980):初级8位机阶段。以1976年Intel公司推出的MCS—48系列为代表,采用将8位CPU、8位并行I/O接口、8位定时/计数器、RAM和ROM等集成于一块半导体芯片上的单片结构,功能上可满足一般工业控制和智能化仪器、仪表等的需要。 第3阶段(1980~1983):高性能信号发生器阶段。这一阶段推出的高性能8位信号发生器普遍带有串行口,有多级中断处理系统,多个16位定时器/计数器。片内RAM、ROM的容量加大,且寻址范围可达64KB。 第4阶段(1983~80年代末):16位信号发生器阶段。1983年Intel公司又推出了高性能的16位信号发生器MCS—96系列,网络通信能力有显著提高。 第5阶段(90年代):信号发生器在集成度、功能、速度、可靠性、应用领域等全方位向更高水平发展。 目前,信号发生器正朝着高性能和多品种方向发展,尤其是八位信号发生器已成为当前信号发生器中的主流。信号发生器的发展具体体现在如下四个方面: 1.CPU功能增强 CPU功能增强主要表现在运算速度和精度的提高方面。为了提高运算速度和精度,信号发生器通常采用布尔处理机和把CPU的字长增加到16位或32位。例如MCS—96/98和HPCI6040等信号发生器。

pwm波信号发生器

电子技术综合训练 设计报告 题目:PWM信号发生器的设计 姓名: 学号: 班级: 同组成员: 指导教师: 日期: 摘要 本次课程设是基于TTL系列芯片的简易PWM信号发生器,PWM信号发生器应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim10仿真软件。本课程设计介绍了PWM信号发生器的设计方案及其基本原理,并着重介绍了PWM信号发生器各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于

测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字: 目录 1 设计任务和要求…………………………………………………………? 1.1设计任务……………………………………………………………? 1.2设计要求…………………………………………………………….? 2 系统设计…………………………………………………………………? 2.1系统要求…………………………………………………………….? 2.2方案设计……………………………………………………………? 2.3系统工作原理……………………………………………………….? 3 单元电路设计……………………………………………………………? 3.1 单元电路A(单元电路的名称) ……………………………………? 3.1.1电路结构及工作原理……………………………………………? 3.1.2电路仿真…………………………………………………………?

3.1.3元器件的选择及参数确定……………………………………………? 3.2单元电路B(单元电路的名称) ……………………………………? 3.2.1电路结构及工作原理…………………………………………? 3.2.2电路仿真…………………………………………………………? 3.2.3元器件的选择及参数确定…………………………………………….? …… 4 系统仿真……………………………………………………………………?. 5 电路安装、调试与测试……………………………………………………? 5.1电路安装………………………………………………………………? 5.2电路调试………………………………………………………………? 5.3系统功能及性能测试…………………………………………………? 5.3.1测试方法设计………………………………………………………? 5.3.2测试结果及分析……………………………………………………? 6 结论…………………………………………………………………………?

简易信号发生器的设计实现

EDA课程设计简易信号发生器的设计实现 小组成员:XXXXXX XXXXX 专业:XXXXX 学院:机电与信息工程学院指导老师:XXXXXX 完成日期:XX年XX月XX日

目录 引言 (3) 一、课程设计内容及要求 (3) 1、设计内容 (3) 2、设计要求 (3) 二、设计方案及原理 (3) 1、设计原理 (3) 2、设计方案 (4) (1)设计思想 (4) (2)设计方案 (4) 3、系统设计 (5) (1)正弦波产生模块 (5) (2)三角波产生模块 (6) (3)锯齿波产生模块 (6) (4)方波产生模块 (6) (5)波形选择模块 (6) (6)频率控制模块 (6) (7)幅度控制模块 (6) (8)顶层设计模块 (7) 三、仿真结果分析 (7) 波形仿真结果 (7) 1、正弦波仿真结果 (7) 2、三角波仿真结果 (8) 3、锯齿波仿真结果 (8) 4、方波仿真结果 (8) 5、波形选择仿真结果 (9) 6、频率控制仿真结果 (9) 四、总结与体会 (10) 五、参考文献 (10) 六、附录 (11)

简易信号发生器 引言 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广范的应用。它能够产生多种波形,如正弦波、三角波、方波、锯齿波等,在电路实验和设备检验中有着十分广范的应用。 本次课程设计采用FPGA来设计多功能信号发生器。 一、课程设计内容及要求 1、设计内容 设计一个多功能简易信号发生器 2、设计要求 (1)完成电路板上DAC的匹配电阻选择、焊接与调试,确保其能够正常工作。 (2)根据直接数字频率合成(DDFS)原理设计正弦信号发生器,频率步进1Hz,最高输出频率不限,在波形不产生失真(从输出1KHz正弦转换为输出最高频率正弦时,幅度衰减不得大于10%)的情况下越高越好。频率字可以由串口设定,也可以由按键控制,数码管上显示频率傎。 (3)可以控制改变输出波形类型,在正弦波、三角波、锯齿波、方波之间切换。 (4)输出波形幅度可调,最小幅度步进为100mV。 二、设计方案及原理 1、设计原理 (1)简易信号发生器原理图如下

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

基于单片机信号发生器设计开题报告

毕业设计(论文) 开题报告 题目基于单片机信号发生器设计 学生姓名学号 专业班级 指导教师 评阅教师 完成日期年月日

基于单片机信号发生器设计 一、课题来源 本课题来源于理论研究。为了实现输出多种波形的功能,基于单片机的控制及各电子器件与单片机间的联合,编写相应的软件,设计一种信号发生器。 二、研究的目的和意义 本课题是基于单片机的信号发生器的设计。研究本课题可以熟悉Proteus、Keil C51及相关电子器件的功能和用法。通过对单片机硬件、软件的设计,及硬件与软件的联调后可以进一步熟悉相关的知识,提高利用所学知识解决实际问题的能力。 三、国内外的研究现状和发展趋势 单片微型计算机,简称单片机,是微型计算机的一个分支。采用超大规模技术把具有数据处理能力(如算术运算、逻辑运算、数据传送、中断处理)的微处理器,随机存取数据存储器,只读程序存储器,输入输出电路等电路集成到一块单块芯片上,构成一个体积小,然而功能较完善的计算机系统。 这些电路能在软件的控制下准确、迅速、高效地完成程序设计者事先规定的任务。 单片机诞生20世纪70年代。当时微电子技术正处于发展阶段,集成电路也属于中规模发展时期,各种新材料新工艺尚未成熟,单片机仍处在初级的发展阶段,元件集成规模还比较小,功能比较简单。1976年INTEL公司推出了MCS-48单片机,这个时期的单片机才是真正的8位单片微型计算机,并推向市场。到了80年代初,单片机已发展到了高性能阶段,像INTEL公司的MCS-51系列。九十年代以后,单片机获得了飞速的发展,世界各大半导体公司相继开发了功能更为强大的单片机。美国Microchip公司发布了一种完全不兼容MCS-51的新一代PIC系列单片机,引起了业界的广泛关注,特别它的产品只有33条精简指令集吸引了不少用户。1990年美国INTEL公司推出了80960超级32位单片机引起了计算机界的轰动,产品相继投放市场,成为单片机发展史上又一个重要的里程碑。 我国的单片机应用始于80年代,虽然发展迅速,但相对于世界市场我国

基于CPLD的PWM发生器设计

第32卷 第6期 2010-6 【151】 基于CPLD的PWM发生器设计 A PWM generator designed with CPLD 耿伟松,于海东 GENG Wei-song, YU Hai-dong (扬州大学 能源与动力工程学院,扬州 225009) 摘 要:H形桥式变换器在多种动力系统中有着广泛的应用。在电机控制中,H桥中开关的控制一般采 用PWM控制技术。采用VHDL硬件描述语言设计了基于CPLD的PWM发生器,并使用Max+PlusⅡ进行仿真验证,仿真结果验证了设计的正确性。设计中采用了一种巧妙的方法来实现,其原理简单。基于CPLD的PWM发生器将会简化控制系统的硬件和软件设计,获得更高的开关频率,其应用这将大大简化直流电机控制系统的设计并且改善系统的控制性能。 关键词:H桥;PWM发生器;CPLD;VHDL;Max+ Plus Ⅱ 中图分类号:TM383.6 文献标识码:B 文章编号:1009-0134(2010)06-0151-03Doi: 10.3969/j.issn.1009-0134.2010.06.50 0 引 言 自从全控型电力电子器件问世以后,就出现了采用脉冲宽度调制的高频开关控制方式,形成了直流P W M 调速系统[1]。脉宽调制变换器的作用是:用脉冲宽度调制的方法,把恒定的直流电源电压调制成频率一定、宽度可变的脉冲电压序列,从而改变平均输出电压的大小,以调节电机转速。 PWM变换器电路有多种形式,可分为可逆和不可逆两大类。其中可逆PWM变换器主电路有多种形式,最常用的是桥式(H形)电路。桥式变换器在许多动力系统中得到了广泛的应用(如直流驱动,直流-交流逆变器,开关电源等等)。 1 PWM 发生器的设计 桥式变换器应用在直流电机调速系统中的主要电路结构如图1所示,开关控制基本上采用 PWM技术。 图1 桥式变换器原理图 PWM信号发生器一般是通过模拟电路或者是 基于微处理器的软件控制技术来实现,但随着高速开关器件的涌现,对于复杂的调制技术,即使采用最先进的DSP(数字信号处理器)也很难实现。 随着超大规模集成电路的集成度和工艺水平的不断提高,专用集成电路ASIC的设计成本在不断降低。CPLD/FPGA是实现ASIC的主流器件,它们具有极大的灵活性和通用性,工作速度快,开发效率高,成本低,可靠性好。近年来,CPLD在电机控制系统中的应用收到了系统设计人员越来越多的重视。用CPLD来设计PWM发生器将会简化控制系统的硬件和软件设计,获得更高的开关频率,减少微处理器的计算工作量。 VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面表现了强大的生命力和应用潜力[2],因此本文选用VHDL语言进行编程,用一片CPLD设计了PWM发生器。 由于桥式PWM变换器的工作状态是确定的,所以采用状态机方式来编程[3]也是情有可原,虽然只有六个状态,但其程序实现起来是很复杂的。用数字比较器代替模拟比较器、用线性计数器代替锯齿波发生器来产生PWM信号[4,5]的设计方法也很繁琐。在分析了桥式PWM变换器工作原理的基础上,本文采用了一种巧妙的方法来设计,其原理简单,程序容易实现。 收稿日期:2010-03-24 作者简介:耿伟松(1990-),男,江苏连云港人,本科在读,研究方向为电气工程及自动化。

相关主题
文本预览
相关文档 最新文档