当前位置:文档之家› FSK调制与解调

FSK调制与解调

FSK调制与解调
FSK调制与解调

FPGA系统设计

课程设计

专业:计算机科学与技术

班级:0991121

姓名:于苗苗

指导教师:王嘉鹏,张彦飞,孟祥莲

哈尔滨****

2012年06月 15 日

一、功能分析: FSK,用所传送的数字消息控制载波的频率。2FSK

信号即为符号“1”对应于载频f1,符号“0”对应于载频f2。

这叫调制。然后又将所得到得输出信号解调时得输入信号,

将输入信号进行处理,转换成原始的信号。也就是最初在调

制时所输入的信号。这个过程就大概描述了FSK调制与解调

的基本流程。

二、硬件设计方案

(1)调制模块设计

频移键控即FSK(Frequency-Shift Keying)数字信号对载波频率调制,主要通过数字基带信号控制载波信号的频率来来传递数字信息。在二进制情况下,“1”对应于载波频率,“0”对应载波频率,但是它们的振幅和初始相位不变化。FSK信号产生的两种方法:直接调频法和频率键控法。

FSK的调制方框图

(2) 解调模块设计

数字频率键控(FSK )信号常用的解调方法有很多种如:同步(相干)解调法、FSK 滤波非相干解调法。

FSK 的解调方框图

调制仿真图

三、软件设计方案

由于在Verilog语言中各个模块电路同时工作采用并行执行的,时序逻辑由时钟驱动,因此在程序中一定要注意时序的设计及时钟的设计,在各个不同的时钟驱动下电路响应的方式。在设计之初应该特别注意Verilog语言的类型。

FSK调制部分:系统是利用2个独立的分频器来改变输出载波频率,以数字键控法来实现FSK捌制。数字键控法也称为频率选择法,他有2个独立的振荡器,数字基带信号控制转换开关,选择不同频率的高频振荡信号实现FSK调制。键控法产生的FSK信号频率稳定度可以做到很高并且没有过渡频率,他的转换速度快,波形好,频率键控法在转换开天发生转换的瞬刚,2个高频振荡的输出电压通常不相等,于是已调信号在基带信息变换时电压会发生跳变,这种现象称为相位不连续,这是频率键控特有的情况。FSK解调部分:通过对clk上升沿和cin上升沿的计数,cnt 为clk计数器,而count为cin的计数器,当 cnt==11时,查看count 的值,如果大于等于3,那么此时也就检测到四分频,所以此时cout输出低电平0,否则输出高电平1.也就是这样就完成了频率-幅度变换,把码元“1”与“0”在幅度上区分开来,恢复出数字基带信号。

四、调试:调试过程中出现的问题

WARNING:Xst:737 - Found 1-bit latch for signal .

Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.

五、心得体会

通过此次课程设计,让我可以把理论学习与实践有机的

结合起来,真正了解自己学习的成果如何。同时也让自己看到自己的不足之处。在设计过程中,首先要分析课题,根据所学知识绘制出系统部体设计框图。然后按照自己的设计思路,用Verilog语言进行编写代码。当程序设计出来之后,生成仿真所需要的.v文件,就可以通过仿真软件对其进行综合,就可以进行系统仿真。通过仿真检测所作设计是否能实现预期效果。

本次课程设计使我对FPGA这门课程有了更深刻的认识,掌握了许多在以前学习中混淆不清的知识点。在翻阅相关书籍和查询相关资料过程中学到了许多知识,开阔了眼界,增加了知识且真正做到了理论联系实际的重要性,爱益匪浅。

但是在我设计和调试的过程中,也遇到了许多问题,感谢老师耐心与细心的讲解,才让我解决了各个问题,我会永记于心的。同时在以后学生和生活中,我会更加细心的学习与做事,因为细节决定成败。通过此次课设不但让我学到了知识,各让我学会了一种人生态度。

附录:(程序代码)

////////////////////////////////调制模块设计

always@(posedge clk)//载波信号用clk分频得到

begin //载波f1生成if(coe1==11)

coe1<=0;

else

coe1<=coe1+1;

case(coe1)

0:f1<=0;

6:f1<=1;

default;

endcase

end

always@(posedge clk)//载波f2生成,用clk4分频得到

begin

if(coe2==3)

coe2<=0;

else

coe2<=coe2+1;

case(coe2)

0:f2<=0;

2:f2<=1;

default;

endcase

end

always@(posedge clk)

begin

if(start)

begin

if(cin)

cout<=f1;

else

cout<=f2;

end

else

cout<=0;

end

endmodule

////////////////////////////////解调模块设计module

decode2fsk(cin,clk,start,cout);

input cin,clk,start;

output cout;reg cout;

reg[3:0]cnt;//对clk计数

reg[2:0]count;//对cin计数

reg ec; //同步计数控制

initial ec=0;

initial cnt=4'b0;

initial count=3'b0;

always@(posedge clk or posedge start)//对clk计数

begin

if(start)

begin

cnt<=0;

ec<=1'b1;

end

else

begin

if(cnt==11)

begin

cnt<=0;

ec<=1'b1;

end

else

begin

cnt<=cnt+1;

ec<=1'b0;

end

end

end

always@(posedge cin or posedge ec)//对in计数

begin

if(ec)

count<=0;

else

count<=count+1;

end

always@(count or cnt)

begin

if(cnt==11)

cout<=(count>=3)?0:1; end

endmodule

基于MATLAB的FSK调制解调1

基于MATLAB的FSK调制解调 学生姓名:段斐指导老师:吴志敏 摘要本课程设计利用MATLAB集成环境下的M文件,编写程序来实现FSK 的调制解调,并绘制出解调前后的时域和频域波形及叠加噪声时解调前后的时频波形,并观察解调前后频谱有何变化以加深对F SK信号解调原理的理解。对信号叠加噪声,并迚行解调,绘制出解调前后信号的时频波形,改变噪声功率迚行解调,根据运行结果和波形来分析该解调过程的正确性及信道对信号传输的影响。完成整个FSK的调制解调过程。程序开发平台为MATLAB7.1,使用其自带的M文件实现。运行平台为Windows 2000。 关键词:程序设计;FSK ;调制解调;MATLAB7.1;M文件 1引言 本课程设计是利用MATLAB集成环境下的M文件,编写程序来实现FSK 的调制解调,并绘制出解调前后的时域和频域波形及叠加噪声时解调前后的时频波形,根据运行结果和波形来分析该解调过程的正确性及信道对信号传输的影响。 1.1课程设计目的 此次课程设计的目的是熟悉MATLAB中M文件的使用方法,编写M文件实现FSK的调制和解调,绘制出FSK信号解调前后在时域和频域中的波形,观察调解前后频谱的变化,再对信号迚行噪声叠加后解调同样绘制解调前后的

信号时频波形,最后改变噪声功率迚行调解,分析噪声对信号传输造成的影响,加深对FSK信号解调原理的理解。 1.2课程设计要求 熟悉MATLAB中M文件的使用方法,并在掌握FSK调制解调原理的基础上,编写出F SK调制解调程序。在M文件环境下运行程序绘制出F SK信号解调前后在时域和频域中的波形,观察波形在解调前后的变化,对其作出解释,同时对信号加入噪声后解调,得到解调后的时频波形,分析噪声对信号传输造成的影响。解释所得到的结果。 1.3课程设计步骤 本课程设计采用M文件编写的方法实现二迚制的FSK的调制与解调,然后在信号中叠加高斯白噪声。一,调用dmode函数实现FSK的解调,并绘制出F SK信号调制前后在时域和频域中的波形,两者比较。二,调用ddemod函数解调,绘制出F SK信号解调前后在时域和频域中的波形,两者比较。三,调用awgn函数在新海中叠加不同信噪比的噪声,绘制在各种噪声下的时域频域图。最后分析结果。 1.4设计平台简介 Matlab是美国MathWorks公司开发的用于概念设计,算法开发,建模仿真,实时实现的理想的集成环境。是目前最好的科学计算类软件。 作为和Mathematica、Maple并列的三大数学软件。其强项就是其强大的矩阵计算以及仿真能力。Matlab的由来就是Matrix + Laboratory = Matlab,这个软件在国内也被称作《矩阵实验室》。Matlab提供了自己的编译器:全面兼容C++以及Fortran两大语言。Matlab 7.1于2005.9最新发布-完整版,提供了

FSK调制

二进制移频键控(2FSK) 一、实验目的 1、掌握2FSK调制原理及其实现方法 2、掌握2FSK解调原理及其实现方法 3、了解非线性调制时信号的频谱变化 二、实验内容 1、理解2FSK的调制和解调原理并用SystemView软件仿真其实现过程 2、用SystemView分析二进制移频键控调制前后信号频谱的变化 三、实验原理 1. 调制 FSK是用不同频率的载波来传递数字消息的。 二进制移频键控(2FSK):用二进制的数字信号去控制发送不同频率的载波。即传“1”信号时发送频率为f1的载波;传“0”信号时发送频率为f2的载波。这种调制属于非线性调制。 2FSK的时域表达式为: 其中: 为a n的反码。 2FSK调制方法有两种: (一)可以用矩形脉冲序列对一个载波进行调频而实现这也是利用模拟调频法实现数字调制的方法,框图如图2-8所示: 图2-8 2FSK模拟调制法原理框图 (二)键控法即用矩形脉冲序列对两个不同频率的载波进行选通框图如图2-9所示: 图2-9 2FSK键控法原理框图 2. 解调 2FSK的解调方法有非相干解调和相干解调,如图2-10所示:

图2-10 2FSK解调原理方框图 这里的抽样判决器与2ASK解调时不同,只需判断哪一个输入样值大不专门设置门限电平。 四、2FSK调制解调系统的SystemView仿真 1. 调制仿真框图及参数设置 键控法: 参数设置 系统时钟:No. of Sample: 1001; Sample Rate: 10000Hz; No.of System Loop: 1 器件参数 矩形脉冲 0 1V; 100Hz; Offset 0; 0deg 正弦信号 1 1V; 500Hz; 0deg 正弦信号 2 1V; 1000Hz; 0deg; 双刀开关 5 Logic---MixedSignal---SPDT;Gate Delay 0; Ctrl Thresh 0.5V 2、解调仿真原理图及参数设置

基于verilog的fsk调制与解调(呕心沥血,极度精简)

先上程序(verilog语言编写) `timescale 1ns/1ns // 测试程序 module test; reg clk1,rst1,clk2,rst2; reg din1; wire dout1,ddout1; modulator my1(.clk(clk1),.rst(rst1),.din(din1),.dout(dout1)); demodulator my2(.clk(clk2),.rst(rst2),.ddin(dout1),.ddout(ddout1)); initial begin clk1=0; forever #25 clk1=~clk1; end initial begin clk2=0; forever #10 clk2=~clk2; end initial begin rst1=1; #15 rst1=0; #50 rst1=1; end initial begin rst2=1; #5 rst2=0; #25 rst2=1; end initial begin #25 din1=1; #400 din1=1; #400 din1=0; #400 din1=1; #400 din1=0; #400 din1=1;

#400 din1=0; #400 din1=1; #400 din1=1; #400 din1=0; #400 din1=1; #400 din1=1; #400 din1=1; #400 din1=0; #400 din1=1; #400 din1=0; #400 din1=0; #400 din1=1; #400 din1=0; #400 din1=0; #400 din1=0; #400 din1=1; #400 din1=1; #400 din1=0; #400 din1=0; #400 din1=1; #400 din1=0; #400 din1=0; #400 din1=0; #400 din1=0; #400 din1=1; #1000 $stop; end endmodule module demodulator(clk,rst,ddin,ddout); //解调input clk,rst; input ddin; output ddout; reg ddout; reg [3:0]cnt3; reg temp; reg [3:0]cnt4; reg clk1; always @(posedge clk or negedge rst) begin if(!rst) cnt3<=4'b0000;

FSK调制与解调

【实验目的】 1、熟悉fsk调制与解调; 2、熟悉fpga; 3、熟悉编码与解码。 【实验原理】 信道 编码 调制 数模转换 四位一位一位一位 解码 解调 模数转换 五位一位一位 本次实验利用实验板实现了一个fsk通信系统。从按键输入一组四位码元,经过fpga编码后,形成8位码元。在这八位中,前三位固定为110,在解码时用来识别一帧的开头。最后加了一位奇偶校验。这八位在编码后,串行输出到调制部分。调制部分的调制方式是fsk调制。调制完成后,输出到数模转换部分。数模转换与模数转换部分相连,然后输出到解调部分。解调后,输出到解码部分。串行输入的码元被解码后,输出到指示灯。同时输出到指示灯的还有一位,用来指示是否接收到的信号是否有错。 【实验内容】 总框图如下: 1、调制 调制部分框图如下

RAGMO与RAGMO2是两个分频器,代码相似,只是分频数有差别。如下代码中黑体处根据系统需要更改。实际系统中,两个频率为700Hz、300Hz左右。 -- MAX+plus II VHDL Template -- Clearable loadable enablable counter LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY ragmo IS PORT ( clk_input : IN STD_LOGIC; output : BUFFER STD_LOGIC ); END ragmo; ARCHITECTURE a OF ragmo IS SIGNAL hgame : INTEGER RANGE 0 TO 1023; BEGIN PROCESS (clk_input) BEGIN IF (clk_input'EVENT AND clk_input='1') THEN hgame <= hgame + 1; IF hgame = 1023 THEN output <= NOT output;

FSK的调制与解调的分析

学年论文目录 1、引言 (2) 2、FSK在硬件实验下的分析 (3) 3、FSK在matlab环境下的分析 (7) 4、教学中的应用 (11) 5、总结 (12) 参考文献 (13) 英文摘要 (14)

FSK的调制与解调的分析 包满都拉(学号:200612306) (物理与电子信息学院 04级电子信息工程班,内蒙古呼和浩特 010022) 指导教师:李红岩 摘要:本文是基于matlab环境下对信号的调制与解调和误码率的分析,以及硬件实验与理论仿真实验的比较。方法是通过matlab软件进行数学建模软件编程使模拟仿真成功,而硬件实验是利用现有实验设备进行实验分析。根据二者在各个方面不同的特点,取长补短应用于教学之中。 关键词: Matlab;环境;调制;解调;分析 中图分类号: TN91 文献标识码: B 1引言 MATLAB是由MATH WORKS公司于1984年推出的一种面向科学与工程的计算软件,通过MATLAB和相关工具箱,工程师、科研人员、数学家和教育工作者可以在统一的平台下完成相应的科学计算工作。 MATLAB 本身包含了 600 余个用于数学计算、统计和工程处理的函数,这样,就可以迅速完成科学计算任务而不必进行额外的开发。业内领先的工具箱算法极大的扩展了MATLAB 的应用领域,所以MATLAB自推出以来就受到广泛的关注。 MATLAB特点: 一,数值计算功能,在MATLAB中,每个数值元素都视为复数,而且只有双精度(64位)一种数据格式,省去多种的设置,虽然在运行速度和内存消耗方面付出了代价,却使MATLAB的编程大大简化。MATLAB的数值计算基本功能包括:矩阵运算、多项式和有理分式计算、数据统计分析以及数值分析等。二,符号计算功能,在实际应用中,除了数值计算外,还需要得到方程的解析解,简化和展开多项式和函数表达,求解函数值等,所有这些均属于符号计算的领域。三,便栈式的编程语言,与Fortran和C等高级语言相比,MATLAB的语法规则更简单,更贴近人的思维方式和表达习惯,使得编写程序就像在便栈上列写公式和演算一样。四,强大而简易的作图功能,能根据输入数据自动确定坐标绘图。五,高智能化,绘图时自动选择最佳坐标,大大方便了用户。自动检测

FSK调制解调实验

实验报告册课程:通信系统原理教程 实验:FSK调制解调实验 班级: 姓名: 学号: 指导老师: 日期:

实验四:FSK 调制解调实验 一、实验目的: 1、了解对FSK 信号调制解调原理; 2、根据其原理设计出2FSK 信号的调制解调电路,在对电路进行仿真,观察 其波形,从而检验设计出的调制解调器是否符合要求。 二、实验原理: 2FSK 信号调制: 又称数字调频,它是用两种不同的载频1ω ,2ω来代表脉冲调制信号1 和0,而载波的振幅和相位不变。如果载波信号采用正弦型波,则FSK 信号可表示为: 2FSK 信号()t S 分解为信号()t S 1与()t S 2之和,则有:()()()t S t S t S 21+= 其中:()()()t U t S m 11cos ω=,代表数字码元“1” ()()()t U t S m 22cos ω=,代表数字码元“0” 2FSK 信号调制器模型如下图: 如上图,两个独立的振荡器产生不同频率的载波信号,当输入基带信号()1=t S 时,调制器输出频率为f1的载波信号,当()0=t S 时,反相器的输出()t S 调制器输出频率为f2的载波信号。f1和f2都取码元速率的整数倍。 2FSK 信号的带宽为:B f f B FSK 221+-= 其中:f 1为对应脉冲调制信号1的载波频率;f 2为对应脉冲调制信号0的载波频率。 2FSK 信号解调: 是调试的相反过程。由于移频键控调制是将脉冲调制信号“1”用FSK 信号()t S 1,而“0”用()t S 2表示,那么在接收端,可从FSK 信号中恢复出其基带信号。本设计采用了普通鉴频法进行解调,将()t S 1恢复成码元1,把()t S 2恢复成码元0 。 2FSK 信号的解调可以采用相干解调,也可以采用包络解调。 实验中采用相干解调,解调器模型如下图: ) 2 2cos(2)(2t f b T t πφ= 号 号调制器

FSK信号调制与解调技术

1 引言 1。1 研究的背景与意义 现代社会中人们对于通信设备的使用要求越来越高,随着无线通信技术的不断发展,人们所要处理的各种信息量呈爆炸式地增长.传统的通信信号处理是基于冯·诺依曼计算 机的串行处理方式,利用传统的冯·诺依曼式计算机来进行海量信息处理的话,以现有的 技术,是不可能在短时间内完成的。而具于并行结构的信息处理方式为提高信息的处理速度提供了一个新的解决思路。 随着人们对于通信的要求不断提高,应用领域的不断拓展,通信带宽显得越来越紧张。人们想了很多方法,来使有限的带宽能尽可能的携带更多的信息。但这样做会出现一个问题,即:信号调制阶数的增加可以提升传送时所携带的信息量,但在解调时其误码 率也相应显著地提高。信息量不断增加的结果可能是,解调器很难去解调出本身所传递的信息。如果在提高信息携带量的同时,能够找到一种合适的解调方式,将解调的误码率控制在允许的范围内,同时又不需要恢复原始载波信号,从而降低解调系统的复杂程度, 那将是很好的。 通信技术在不断地发展,在现今的无线、有线信道中,有很多信号在同时进行着传递,相互之间都会有干扰,而强干扰信号也可能来自于其它媒介。在军事领域,抗干扰技术的研究就更为必要。我们需要通信设备在强干扰地环境下进行正常的通信工作. 目前常用的通信调制方法有很多种,如FSK、QPSK、QAM等.在实际的通信工程中,不同的调制制式由于自身的特点而应用于不同场合,而通信中不同的调制、解调制式就构成了不同的系统.如果按照常规的方法,每产生一种信号就需要一个硬件电路,甚至一个模块,那么要使一部发射机产生几种、几十种不同制式的通信信号,其电路就会异常复杂,体积重量都会很大.而在接收机部分,情况也同样是如此,即对某种特定的调制信号,必须有一个特定的对应模块电路来对该信号进行解调工作。如果发射端所发射的信号调制方式发生改变,这一解调模块就无能为力了.实际上,随着通信技术的进步和发展,现 代社会对于通信技术的要求越来越高,比如要求通信系统具有最低的成本、最高的效率,以及跨平台工作的特性,如PDA、电脑、手机使用时所要求的通用性、互连性等。怎样对多种类型的信号进行智能化处理,而又不增加电路的成本、处理速度以及体积重量等,是我们目前正面临的问题。

FSK调制解调原理

FSK频移键控调制解调原理 FSK(Frequency-shift keying)的简介 FSK(Frequency-shift keying)是信息传输中使用得较早的一种调制方式,它的主要优点是: 实现起来较容易,抗噪声与抗衰减的性能较好。在中低速数据传输中得到了广泛的应用。 最常见的是用两个频率承载二进制1和0的双频FSK系统。 技术上的FSK有两个分类,非相干和相干的FSK 。在非相干的FSK ,瞬时频率之间的转移是两个分立的价值观命名为马克和空间频率,分别为。在另一方面,在相干频移键控或二进制的FSK ,是没有间断期在输出信号。 在数字化时代,电脑通信在数据线路(电话线、网络电缆、光纤或者无线媒介)上进行传输,就是用FSK调制信号进行的,即把二进制数据转换成FSK信号传输,反过来又将接收到的FSK信号解调成二进制数据,并将其转换为用高,低电平所表示的二进制语言,这是计算机能够直接识别的语言。 FSK 调制 在二进制频移键控中,幅度恒定不变的载波信号的频率随着输入码流的变化而切换(称为高音和低音,代表二进制的1 和0)。 非连续相位FSK的调制方式 产生FSK 信号最简单的方法是根据输入的数据比特是0还是1,在两个独立的振荡器中切换。采用这种方法产生的波形在切换的时刻相位是不连续的,因此这种FSK 信号称为不连续FSK 信号。 由于相位的不连续会造频谱扩展,这种FSK 的调制方式在传统的通信设备中采用较多。随着数字处理技术的不断发展,越来越多地采用连继相位FSK调制技术。

连续相位FSK的调制信号 目前较常用产生FSK 信号的方法是,首先产生FSK 基带信号,利用基带信号对单一载波振荡器进行频率调制。 相位连续的FSK信号的功率谱密度函数最终按照频率偏移的负四次幂衰落。如果相位不连续,功率谱密度函数按照频率偏移的负二次幂衰落。 FSK信号频谱 在通信原理综合实验系统中,FSK 的调制方案如下: FSK 信号:S(t)=cos(ω0t+2πfi·t) 在通信信道FSK 模式的基带信号中传号采用fH 频率,空号采用fL 频率。在FSK 模式下,不采用汉明纠错编译码技术。调制器提供的数据源有: FSK正交调制器结构 1、外部数据输入:可来自同步数据接口、异步数据接口和m序列; 2、全1码:可测试传号时的发送频率(高); 3、全0码:可测试空号时的发送频率(低); 4、0/1 码:0101..交替码型,用作一般测试; 5、特殊码序列:周期为7的码序列,以便于常规示波器进行观察; 6、m序列:用于对通道性能进行测试; FSK调制器带处理结构 FSK 解调

FSK 调制与解调 通信报告

实验九FSK调制解调原理实验 一、实验目的 1、掌握FSK调制的工作原理及电路组成; 2、掌握锁相解调FSK的原理和实现方法。 二、实验电路工作原理 D/A A/D 模 拟 开 关 FSK解 调 (4046 锁相环 解调) 数字基带信号入相 加 器 整 形 出 128K方波 64K方波 FSK调制 输出 32K选频输出时钟图9-1 FSK调制解调电原理框图 数字频率调制是数据通信中使用较早的一种通信方式。由于这种调制解调方式容易实现,抗噪声和抗群时延性能较强,因此在无线中低速数据传输通信系统中得到了较为广泛的应用。数字调频又可称作移频键控(FSK),它是利用载频频率变化来传递数字信息。 (一)FSK调制电路工作原理 FSK调制解调电原理框图,如图9-1所示;图9-2是它的调制电路电原理图。输入的基带信号分成两路,一路控制f1=64KHz的载频,另一路经倒相去控制f2=128KHz的载频。当基带信号为“1”时,模拟开关1打开,模拟开关2关闭,此时输出f1=64KHz,当基带信号为“0”时,模拟开关1关闭,模拟开关2开通。此时输出f2=128KHz,于是可在输出端得到已调的FSK信号。

图9-2 FSK调制电路电原理图 图9-3 FSK解调电路电原理图

(二)FSK 解调电路工作原理 FSK 集成电路模拟锁相环解调器由于性能优越,价格低廉,体积小,所以得到了越来越广泛的应用。解调电路电原理图如图9-3所示。FSK 集成电路模拟锁相环解调器的工作原理是十分简单的,只要在设计锁相环时,使它锁定在FSK 的一个载频如f1上,对应输出高电平,而对另一载频f2失锁,对应输出低电平,那末在锁相环路滤波器输出端就可以得到解调的基带信号序列。 FSK 锁相环解调器中的集成锁相环选用了HEF4046。 压控振荡器的中心频率设计在128KHz 。其参数选择要满足环路性能指标的要求。从要求环路能快速捕捉、迅速锁定来看,低通滤波器的通带要宽些;从提高环路的跟踪特性来看,低通滤波器的通带又要窄些。因此电路设计应在满足捕捉时间前提下,尽量减小环路低通滤波器的带宽。 当输入信号为64KHz 时,环路失锁。此时环路对64KHz 载频的跟踪破坏。 可见,环路对128KHz 载频锁定时输出高电平,对64KHz 载频失锁时就输出低电平。只要适当选择环路参数,使它对128KHz 锁定,对64KHz 失锁,则在解调器输出端就得到解调输出的基带信号序列。关于FSK 频移键控原理波形见图9-4所示。 v v v v SP701SP702SP705FSK SP707SP708 对“1”调制对“0”调制 图9-4 FSK 频移键控原理波形图 三、实验步骤 1、按下实验箱右测电源开关,电源指示灯亮。按动带锁开关使L2(红灯)点亮表示系统正常工作。 2、SP103接SP701表示128KHz 方波输入;SP104接SP702表示64KHz 方波输入,SP110接SP705表示PN2K 基带信号输入。 3、电位器调节: W702:调节64KHz 载频幅度大小。 W701:调节128KHz 载频幅度大小。 W703:调节FSK 已调信号幅度大小。 W704:调节解调电路压控振荡器时钟的中心频率(调节不当会导致还原形失真)。

FSK调制解调原理及设计

一.2FSK 调制原理: 1、2FSK 信号的产生: 2FSK 是利用数字基带信号控制在波的频率来传送信息。例如,1码用频率f1来传输,0码用频率f2来传输,而其振幅和初始相位不变。故其表示式为 式中,假设码元的初始相位分别为1θ和2θ;112 f π=ω和222f π=ω为两个不同的码元的角频率;幅度为A 为一常数,表示码元的包络为矩形脉冲。 2FSK 信号的产生方法有两种: (1)模拟法,即用数字基带信号作为调制信号进行调频。如图1-1(a )所示。 (2)键控法,用数字基带信号)(t g 及其反)(t g 相分别控制两个开关门电路,以此对两个载波发生器进行选通。如图1-1(b )所示。 这两种方法产生的2FSK 信号的波形基本相同,只有一点差异,即由调频器产生的2FSK 信号在相邻码元之间的相位是连续的,而键控法产生的2FSK 信号,则分别有两个独立的频率源产生两个不同频率的信号,故相邻码元的相位不一定是连续的。 (a) (b) 2FSK 信号产生原理图 由键控法产生原理可知,一位相位离散的2FSK 信号可看成不同频率交替发送的两个2ASK 信号之和,即 其中)(t g 是脉宽为s T 的矩形脉冲表示的NRZ 数字基带信号。 其中,n a 为n a 的反码,即若1=n a ,则0=n a ;若0=n a ,则1=n a 。 2、2FSK 信号的频谱特性: 由于相位离散的2FSK 信号可看成是两个2ASK 信号之和,所以,这里可以直接应用2ASK 信号的频谱分析结果,比较方便,即 2FSK 信号带宽为 s s F S K R f f f f f B 2||2||21212+-=+-≈ 式中,s s f R =是基带信号的带宽。 二.2FSK 解调原理: 仿真是基于非相干解调进行的,即不要求载波相位知识的解调和检测方法。 其非相干检测解调框图如下 M 信号非相干检测解调框图 当k=m 时检测器采样值为: 当k ≠m 时在样本和中的信号分量将是0,只要相继频率之间的频率间隔是,就与相移值无关了,于是其余相关器的输出仅有噪声组成。 其中噪声样本{}和{}都是零均值,具有相等的方差 对于平方律检测器而言,即先计算平方包络

FSK调制及解调实验报告

一、实验目的 1、掌握用键控法产生FSK信号的方法。 2、掌握FSK非相干解调的原理。 二、实验器材 1、主控&信号源、9号模块各一块 2、双踪示波器一台 3、连接线若干 三、实验原理 1、实验原理框图 FSK调制及解调实验原理框图 2、实验框图说明 基带信号与一路载波相乘得到1电平的ASK调制信号,基带信号取反后再与二路载波相乘得到0电平的ASK调制信号,然后相加合成FSK调制输出;已调信号经过过零检测来识别信号中载波频率的变化情况,通过上、下沿单稳触发电路再相加输出,最后经过低通滤波和门限判决,得到原始基带信号。 四、实验步骤 实验项目一 FSK调制 概述:FSK调制实验中,信号是用载波频率的变化来表征被传信息的状态。本项目中,通过调节输入PN序列频率,对比观测基带信号波形与调制输出波形来验证FSK调制原理。 1、关电,按表格所示进行连线。 2、开电,设置主控菜单,选择【主菜单】→【通信原理】→【FSK数字调制解调】。将9号模块的S1拨为0000。调节信号源模块的W2使128KHz载波信号的峰峰值为3V,调节W3使256KHz载波信号的峰峰值也为3V。

3、此时系统初始状态为:PN序列输出频率32KH。 4、实验操作及波形观测。 (1)示波器CH1接9号模块TH1基带信号,CH2接9号模块TH4调制输出,以CH1为触发对比观测FSK调制输入及输出,验证FSK调制原理。 (2)将PN序列输出频率改为64KHz,观察载波个数是否发生变化。 实验项目二 FSK解调 概述:FSK解调实验中,采用的是非相干解调法对FSK调制信号进行解调。实验中通过对比观测调制输入与解调输出,观察波形是否有延时现象,并验证FSK解调原理。观测解调输出的中间观测点,如TP6(单稳相加输出),TP7(LPF-FSK),深入理解FSK解调过程。 1、保持实验项目一中的连线及初始状态。 2、对比观测调制信号输入以及解调输出:以9号模块TH1为触发,用示波器分别观测9号模块TH1和TP6(单稳相加输出)、TP7(LPF-FSK)、 TH8(FSK解调输出),验证FSK解调原理。 3、以信号源的CLK为触发,测9号模块LPF-FSK,观测眼图。 五、实验报告 1、分析实验电路的工作原理,简述其工作过程; (1)调制电路工作原理:输入的基带信号由转换开关转接后分成两路,一路控制256KHz 的载频,另一路经倒相去控制?168KHz的载频。当基带信号为“1”时,模拟开关1打开,模拟开关2关闭,此时输出f1=256KHz,当基带信号为"0"时,模拟开关1关闭,模拟开关2开通。此时输出f2=168KHz,于是可在输出端得到已调的FSK信号。?电路中的两路载频(f1,f2)由内时钟信号发生器产生,经过开关送入。两路载频分别经射随、选频滤波、射随、再送至模拟开关。? (2)解调电路的工作原理:已调信号经过过零检测识别出信号中载波频率是否发生变化。经限幅、微分、整流后形成与频率变化相对应的尖脉冲序列,再经过脉冲展宽把这些尖脉冲变换成较宽的矩形脉冲以增大其直流分量,然后经过低通滤波器取出直流分量完成频率——幅度变换。? 2、分析FSK调制解调原理。 频移键控是利用载波的频率变化来传递数字信息。在2FSK中,载波的频率随二进制基带信号在f1和f2两个频率点间变化。故2FSK可以看成是两个不同载频的2ASK信号的叠加。解调原理是将2FSK信号分解为上下两路2ASK信号分别进行调解然后进行判决得到恢 复出的原始信号。???

FSK调制解调实验

湖南科技学院通信原理课程设计 题目: 院(系): 班级: 姓名: 学号: 指导教师:

摘要:用示波器和通信原理实验箱实现通信系统仿真。移频键控调制(FSK)是数据通信中使用较早的一种通信方式。它是利用载频频率变化来传递数字信息的。 关键词: FSK 1、引言 随着电子技术和计算机技术的发展,以通信,计算机为代表的信息产业的发展引起了社会经济乃至人们生活方式的变化,通信技术的发展日新月异,逐渐向各个领域渗透。 通信技术这门课程是理论与实践相结合的课程,而理论比较难以理解,所以在学习中必须实践和理论相结合。 2、系统介绍 FSK分为发送部分(调制)和接收部分(解调)如图: 发送部分 接收部分

3.实验电路图部分 (一)FSK调制电路工作原理 图3-1 FSK调制电原理框图 数字调频又可称作移频键控(FSK),它是利用载频频率变化来传递数字信息。这种调制解调方式容易实现,抗噪声和抗衰减性能较强,因此在中低速数据传输系统中得到了较为广泛的应用。本实验电路中,载频频率经过本实验电路分频而得到的两个不同频率的载频信号,则为相位连续的数字调频信号。图3-1为 FSK调制器原理框图。图3-2 为 FSK调制器电路图。

由图3-2可知,输入的基带信号由转换开关K904转接后分成两路,一路控制 32KHz的载频,另一路经倒相去控制 16KHz的载频。当基带信号为“1”时,模拟开关1打开,模拟开关2关闭,此时输出f 1 =32KHz,当基带信号为"0"时,模 拟开关1关闭,模拟开关2开通。此时输出f 2 =16KHz,于是可在输出端得到已调的FSK信号。 电路中的两路载频(f 1,f 2 )由内时钟信号发生器产生,经过开关K9Ol,K902送入。 两路载频分别经射随、选频滤波、射随、再送至模拟开关U9Ol:A与U90l:B(4066)。 (二)FSK解调电路工作原理 FSK集成电路模拟锁相环解调器由于性能优越,价格低廉,体积小,所以得 到了越来越广泛的应用。FSK集成电路模拟锁相环解调器的工作原理是十分简单的,只要在设计锁相环时,使它锁定在FSK的一个载频f 1 上,对应输出高电平, 而对另一载频f 2 失锁,对应输出低电平,那么在锁相环路滤波器输出端就可以得到解调的基带信号序列。

FSK调制与解调

实验五 FSK 调制与解调 一. 实验目的 1. 掌握二进制频移键控的原理。 2. 运用MA TLAB 对2FSK 的调制与解调过程进行仿真,记录并分析仿真结果。 二. 实验内容 运用MATLAB 编程实现FSK 调制解调过程,并且输出其调制后的波形,画出频谱、功率谱密度图,并比较各种调制的误码率情况,讨论其调制效果。 三. 软件概要设计说明,功能模块及流程和工作原理 2FSK 信号在形式上如同两个不同频率交替发送的ASK 信号相叠加,已调信号的时域表达式为: t w nT t g a t w nT t a t s s n n s n n FSK 212cos )([cos )]([)(-+-=∑∑ 2FSK 调制就是使用两个不同的频率的载波信号来传输一个二进制信息序列。可以用二进制“1”来对应于载频f1,而“0”用来对应于另一项载频w2的已调波形,而这个可以用受矩形脉冲序列控制的开关电路对两个不同的独立的频率源w1、f2进行选择通。如下原理图: 2FSK 信号的解调也有非相干和相干两种。FSK 信号可以看成是用两个频率源交替输出得到,所以FSK 接收机由两个并联的ASK 接收机组成。如下原理图:

四.软件详细设计、关键技术与难点、测试数据 用MATLAB编程如下: Fc=10; %载频 Fs=40; %系统采样频率 Fd=1; %码速率 N=Fs/Fd; df=10; numSymb=25; %进行仿真的信息代码个数 M=2; %进制数 SNRpBit=60; %信噪比 SNR=SNRpBit/log2(M); seed=[12345 54321]; numPlot=15; x=randsrc(numSymb,1,[0:M-1]);%产生25个2进制随机码 figure(1) stem([0:numPlot-1],x(1:numPlot),'bx'); title('二进制随机序列') xlabel('Time'); ylabel('Amplitude'); %调制 y=dmod(x,Fc,Fd,Fs,'fsk',M,df); numModPlot=numPlot*Fs; t=[0:numModPlot-1]./Fs; figure(2) plot(t,y(1:length(t)),'b-'); axis([min(t) max(t) -1.5 1.5]);

[例文]fsk的调制和解调的方法

调幅接收机系统设计 作者夏琳 指导教师贺秀玲 摘要本设计主要论述了FSK的调制和解调的方法、原理、电路设计和软件仿真。调制方法部分介绍了两种调制方法及相关原理,分别为模拟调制法和键控法;解调方法部分主要介绍了相干和非相干解调两种,本次设计采用的是非相干解调法(即包络解调法)。在FSK数字调制理论分析的基础上,又对调制和解调单元的各部分进行了电路的设计,提出了具体的实现方案,同时利用system view软件实现对FSK系统的仿真和分析,并记录了实验的过程和相关结果,从而通过运用模拟的视觉化的手段来实现达到解调调制设计方案的目的。 关键词:数字通信;FSK;调制与解调的方法;软件仿真

目录 引言 (1) 1FSK的调制方法及原理 (1) 1.1 模拟调制法 (1) 1.2 键控法 (2) 1.3 2FSK调制原理 (2) 2FSK的解调方法及原理 (3) 2.1 相干解调法 (3) 2.2 非相干解调法 (4) 2.3 2FSK解调原理 (5) 3FSK调制及解调各单元电路设计 (6) 3.1 2FSK调制单元电路设计 (6) 3.2 2FSK解调单元电路设计 (8) 4SystemView软件仿真及分析 (10) 4.1仿真软件简介 (10) 4.2二进制移频键控(2FSK)调制及仿真 (11) 4.3 2FSK键控信号调制解调系统仿真及波形 (12) 结论 (13) 致谢 (14) 参考文献 (14)

引言 随着科学技术的进步,通信事业得到了飞速发展,当今社会已经步入信息时代,在各种信息技术中,信息的传输及通信起着支撑作用,而对于信息的传输,数字通信已经成为重要的手段,信息越来越多地作为数字脉冲之间的时间或相位的变化而传送出去,利用模拟调制方法随着现代调制方法的出现而陷入了困境。因此信号的调制解调方式也由模拟方式持续、广泛地向数字方式转换。 数字调制是指用数字基带信号对载波的某些参量进行控制,使载波的这些参量随基带信号的变化而变化。根据控制的载波参量的不同,数字调制有调幅、调频和调相三种基本形式,并可以派生出多种其他形式。由于传输失真、传输损耗以及保证带内特性的原因,基带信号不适合在各种信道上进行长距离传输。为了进行长途传输,必须对数字信号进行载波调制,将信号频谱搬移到高频处才能在信道中传输。因此,大部分现代通信系统都使用数字调制技术。另外,由于数字通信具有建网灵活,容易采用数字差错控制技术和数字加密,便于集成化,并能够进入综合业务数字网(ISDN网),所以通信系统都有由模拟方式向数字方式过渡的趋势。因此,对数字通信系统的分析与研究越来越重要,数字调制作为数字通信系统的重要部分之一,对它的研究也是有必要的。通过对调制系统的仿真,我们可以更加直观的了解数字调制系统的性能及影响性能的因素,从而便于改进系统,获得更佳的传输性能。 本次设计选择的FSK(Frequency-shift keying):频移键控,就是用数字信号去调制载波的频率。是信息传输中使用得较早的一种调制方式,它的主要优点是: 实现起来较容易,抗噪声与抗衰减的性能较好。在中低速数据传输中得到了广泛的应用。 FSK调制与解调系统,是广泛用于计算机网,办公室自动化系统及移频通信中的FSK电路。 1 FSK的调制方法及原理 如果用数字信号来键控载波的频率,即信号的符号“0”对应于载波频率f1;符号“1”对应于载波频率f2,这种调制技术称为二进制频移键控(2FSK)。 1.1 模拟调制法 同模拟信号调制一样,2FSK信号可以利用一个矩形脉冲序列对一个载波进行调频而获得,这正是频率键控通信方式早期采用的实现方法,也是利用模拟调频法实现数学

基于MATLAB的FSK调制解调实现

目录 一. FSK理论知识………………………………………………… 1.1FSK概念………………………………………………………………… 1.22FSK信号的波形及时间表示式………………………………………… 1.32FSK信号的产生方法…………………………………………………… 1.42FSK信号的功率谱密度………………………………………………… 1.52FSK信号的解调………………………………………………………… 1.6FSK的误码性能…………………………………………………………… 二.用MATLAB进行FSK原理及误码性能仿真……… 三、结论…………………………………………… 四、参考文献…………………………………………、 五、源程序……………………………………………

[摘要]:本文讨论在信号各频率振幅FSK信号的相关知识,并且文中还导出了相应的误码率公式,给出了误码率数值计算和计算机模拟曲线。并用MATLAB 进行FSK原理及误码性能仿真。 [关键词]: Abstract : Keywords : 一、FSK理论知识 频率调制的最简单形式是二进制频率键控(FSK,frequency-shift keying)。FSK 是调制解调器通过电话线路发送比特的方法。每个比特被转换为一个频率,0由较低的频率表示,1由较高的频率表示。 1.1、FSK概念 传“0”信号时,发送频率为f1的载波; 传“1”信号时,发送频率为f2的载波。 可见,FSK是用不同频率的载波来传递数字消息的。 实现模型如下图: 1.2、 2FSK信号的波形及时间表示式 根据上图模型的实现可以得到2FSK的信号波形如图:

FSK调制解调实验报告

FSK调制解调实验报告 一、实验目的: 1.掌握FSK(ASK)调制器的工作原理及性能测试; 2.掌握FSK(ASK)锁相解调器工作原理及性能测试; 3. 学习FSK(ASK)调制、解调硬件实现,掌握电路调整测试方法。 二、实验仪器: 1.信道编码与 ASK.FSK.PSK.QPSK 调制模块,位号: A,B 位 2. FSK 解调模块,位号: C 位 3.时钟与基带数据发生模块,位号: G 位 4. 100M 双踪示波器 三、实验内容: 观测m序列(1,0, 0/1码)基带数据FSK (ASK)调制信号波和解调后基带数据信号波形。 观测基带数字和FSK(ASK)调制信号的频谱。 改变信噪比(S/N),观察解调信号波形。 四、实验原理: 数字频率调制是数据通信中使用较早的一种通信方式。由于这种调制解调方式容易实现,抗噪声和抗群时延性能较强,因此在无线中低速数据传输通信系统中得到了较为广泛的应用。

(一)  FSK 调制电路工作原理 FSK 的调制模块采用了可编程逻辑器件+D/A 转换器件的软件无线电结构模式,由于调制算法采用了可编程的逻辑器件完成,因此该模块不仅可以完成 ASK, FSK 调制,还可以完成 PSK,DPSK, QPSK, OQPSK 等调制方式。不仅如此,由于该模块具备可编程的特性,学生还可以基于该模块进行二次开发,掌握调制解调的算法过程。在学习 ASK, FSK 调制的同时,也希望学生能意识到,技术发展的今天,早期的纯模拟电路调制技术正在被新兴的技术所替代,因此学习应该是一个不断进取的过程。下图为调制电路原理框图 上图为应用可编程逻辑器件实现调制的电路原理图(可实现多种方式调制)。基带数据时钟和数据,通过 JCLK 和 JD 两个铆孔输入到可编程逻辑器件中,由可编程逻辑器件根据设置的工作模式,完成 ASK 或 FSK 的调制,因为可编程逻辑器件为纯数字运算器件,因此调制后输出需要经过 D/A 器件,完成数字到模拟的转换,然后经过模拟电路对信号进行调整输出,加入射随器,便完成了整个调制系统。 ASK/FSK 系统中,默认输入信号应该为 2K 的时钟信号,在时钟与基带数据发生模块有2K的M序列输出,可供该实验使用,可以通过连线将时钟和数据送到 JCLK 和 JD 输入端。标有ASK.FSK 的输出铆孔为调制信号的输出测量点,可以通过按动模

2FSK的调制与解调

摘要 在本二进制移频键控调制解调电路中,其中调制系统由模拟开关电路以及两个射随、选频电路组成。解调是用非相干解调,即包络检波法。在设计过程中,采用模块化的设计方法,并使用了Multisim工具软件,在计算机屏幕上仿真实验,绘制电路图所需的元件、芯片以及导线均可在屏幕上选取,提高了设计效率。本方案的优点是产生的FSK信号频率稳定度好,转换速度快,波形好。 关键词:射随/选频电路;模拟开关;包络检波;仿真

目录 摘要 前言 (4) 一、2FSK的调制解调原理介绍 (5) 2.1 2FSK的调制原理..................................^ (5) 2.2 2FSK信号的解调原理 (6) 二、各单元电路设计 (8) 3.1 2FSK调制单元 (8) 3.1.1 射随、选频电路 (8) 3.1.2 模拟开关电路 (8) 3.2 2FSK解调单元 (9) 三、总体电路与电路仿真 (10) 4.1 总体电路设计 (10) 4.2 调制和解调的仿真结果图 (10) 参考文献 (13) 设计总结 (14) 附件1:各元件引脚图 (15) 附件2:元器件清单 (16)

前言 在通信系统的设计、实验过程中,通信信号仿真具有灵活性好、经济等诸多优点,通信中的一个基本概念就是调制,是指用携带有用信息的调制信号去控制高频载波信号。数字调频又称移频键控(frequency shift keying,FSK),它是用不同的载波来传送数字信号的。调频信号即2FSK信号是数字通信系统使用较早的一种通信方式,这种通信方式容易实现,抗噪声和抗衰减性能较强,广泛的应用于低速数据传输通信系统中。 2FSK信号的产生有两种方法:直接调频法和频率键控法。直接调频法是用数字基带信号直接控制载波振荡器的振荡频率。虽然方法简单,但频率稳定度不高,同时转移速度不能太高。而频率键控法则不同,它有两个独立的振荡器,数字基带信号控制开关,选择不同频率的高频振荡信号,从而实现FSK调制。 2FSK是利用载频频率的变化来传输数字信息的。数字载频信号有相位离散和相位连续两种情形。若两个振荡频率分别由不同的独立振荡器提供,它们之间的相位互不相关,这就叫相位离散的数字调频信号;若两个振荡频率由同一振荡信号源提供,是对其中一个载频进行分频,这样产生的两个载波就是相位连续的数字调频信号。 由于键控法的诸多优点,因此本实验电路利用移频键控法,由振荡器产生不同的载频频率作为两个不同频率的载频信号,即为相位不同的数字调频信号,由基带信号对不同频率的载波信号进行选择。

2FSK调制解调及其仿真

2FSK调制解调及其仿真 一、题目 1. 2FSK调制解调及其仿真。 2. 相关调制解调的原理图如 3. 输入的信号为: S(t)=[∑аn*g(t-nTs)]cosω1t+[ān*g(t-nTs)]cosω1t; ān是аn的反码。 二、仿真思路 1.首先要确定采样频率fs和两个载波频率的值f1,f2。 2.写出输入已经信号的表达式S(t)。由于S(t)中有反码的存在,则需 要将信号先反转后在从原信号和反转信号中进行抽样。写出已调信号的表达式S(t)。 3.在2FSK的解调过程中,如上图原理图,信号首先通过带通滤波器, 设置带通滤波器的参数,后用一维数字滤波函数filter对信号S(t)

的数据进行滤波处理。输出经过带通滤波器后的信号波形。由于已调信号中有两个不同的载波(ω1, ω2),则经过两个不同频率的带通滤波器后输出两个不同的信号波形H1,H2。 4.经过带通滤波器后的2FSK信号再经过相乘器(cosω1,cosω2),两序列相乘的MATLAB表达式y=x1.*x2 →SW=Hn.*Hn ,输出得到相乘后的两个不同的2FSK波形h1,h2。 5.经过相乘器输出的波形再通过低通滤波器,设置低通滤波器的参数,用一维数字滤波韩式filter对信号的数据进行新的一轮的滤波处理。输出经过低通滤波器后的两个波形(sw1,sw2)。 6.将信号sw1和sw2同时经过抽样判决器,分别输出st1,st2。其抽样判决器输出的波形为最后的输出波形st。对抽样判决器经定义一个时间变量长度i,当st1(i)>=st2(i)时,则st=0,否则st=st2(i).其中st=st1+st2。 三、仿真程序 程序如下: fs=2000; %采样频率 dt=1/fs; f1=20; f2=120; %两个信号的频率 a=round(rand(1,10)); %随机信号 g1=a g2=~a; %信号反转,和g1反向 g11=(ones(1,2000))'*g1; %抽样 g1a=g11(:)';

相关主题
文本预览
相关文档 最新文档