当前位置:文档之家› 多功能电子表 毕业设计

多功能电子表 毕业设计

多功能电子表 毕业设计
多功能电子表 毕业设计

毕设

多功能电子表

指导教师:徐书雨

班级:电子S10-2

学生:侯炎旭周鑫学号:21号28号日期:2012年2月24日

目录

第一章元器件 (3)

第一节电阻 (3)

第二节电容 (4)

第三节三极管 (5)

第二章最小系统 (7)

第三章自我设计 (8)

第一节1602液晶的应用 (8)

第二节时钟芯片DS1302的应用 (10)

第三节DS18B20温度传感器的应用 (11)

第四节超声波模块的应用 (12)

第五节语音模块应用 (13)

参考文献 (14)

第一章元器件

第一节电阻

1.定义色环电阻,是在电阻封装上(即电阻表面)涂上一定颜色的色环,

色环电阻

识别方法

黑,棕,红,橙,黄,绿,蓝,紫,灰,白

0, 1, 2, 3, 4, 5, 6, 7, 8, 9

倒数第二环,表示零的个数。

2.电阻检测:

A 将两表笔(不分正负)分别与电阻的两端引脚相接即可测出实际电阻值。为了提高测量精度,应根据被测电阻标称值的大小来选择量程。由于欧姆挡刻度的非线性关系,它的中间一段分度较为精细,因此应使指针指示值尽可能落到刻度的中段位置,即全刻度起始的20%~80%弧度范围内,以使测量更准确。根据电阻误差等级不同。读数与标称阻值之间分别允许有±5%、±10%或±20%的误差。如不相符,超出误差范围,则说明该电阻值变值了。

B 注意:测试时,特别是在测几十kΩ以上阻值的电阻时,手不要触及表笔和电阻的导电部分;被检测的电阻从电路中焊下来,至少要焊开一个头,以免电路中的其他元件对测试产生影响,造成测量误差;色环电阻的阻值虽然能以色环标志来确定,但在使用时最好还是用万用表测试一下其实际阻值。

第二节电容

电容(或电容量,Capacitance)指的是在给定电位差下的电荷储藏量;记为C,国际单位是法拉(F)。一般来说,电荷在电场中会受力而移动,当导体之间有了介质,则阻碍了电荷移动而使得电荷累积在导体上;造成电荷的累积储存,最常见的例子就是两片平行金属板。也是电容器的俗称。

电容种类:

云母电容

符号:(CY)

电容量:10p--0.1μ

额定电压:100V--7kV

主要特点:价格较高,但精度、温度特性、耐热性、寿命等均较好

应用:高频振荡,脉冲等对可靠性和稳定性较高的电子装置。

玻璃釉电容

玻璃釉电容

符号:(CI)

电容量:10p--0.1μ

额定电压:63--400V

主要特点:稳定性较好,损耗小,耐高温(200度)

应用:脉冲、耦合、旁路等电路

电解电容器

铝电解电容

符号:(CD)

电容量:0.47--10000μ

额定电压:6.3--450V

主要特点:体积小,容量大,损耗大,漏电大,有极性,安装时要注意应用:电源滤波,低频耦合,去耦,旁路等

第三节三极管

半导体三极管

半导体三极管又称“晶体三极管”或“晶体管”。在半导体锗或硅的单晶上制备两个能相互影响的PN结,组成一个PNP(或NPN)结构。中间的N区(或P区)叫基区,两边的区域叫发射区和集电区,这三部分各有一条电极引线,分别叫基极B、发射极E和集电极C,是能起放大、振荡或开关等作用的半导体电子器件。

三极管电极和管型的判别:

目测法

一、管型的判别一般,管型是NPN还是PNP应从管壳上标注的型号来辨别。依照部分标准,三极管型号的第二位(字母),A、C表示PNP管,B、D表示NPN管(A、B 表示锗管(Ge),C、D表示硅管(Si)),例如:

3AX 为PNP型低频小功率管(Ge) 3BX 为NPN型低频小功率管(Ge)

3CG 为PNP型高频小功率管(Si) 3DG 为NPN型高频小功率管(Si)

3AD 为PNP型低频大功率管(Ge) 3DD 为NPN型低频大功率管(Si)

3CA 为PNP型高频大功率管(Si) 3DA 为NPN型高频大功率三级管(Si)

此外有国际流行的9011~9018系列高频小功率管,除9012和9015为PNP管外,其余均为NPN型管。

二、管极的判别

常用中小功率三极管有金属圆壳和塑料封装(半柱型)等外型。

用万用表电阻档判别

三极管内部有两个PN结,可用万用表电阻档分辨e、b、c三个极。在型号标注模糊的情况下,也可用此法判别管型。

①基极的判别

判别管极时应首先确认基极。对于NPN管,用黑表笔接假定的基极,用红表笔分别接触另外两个极,若测得电阻都小,约为几百欧~几千欧;而将黑、红两表笔对调,测得电阻均较大,在几百千欧以上,此时假定极就是基极。PNP管,情况正相反,测量时两个PN结都正偏(电阻均较小)的情况下,红表笔接基极。

实际上,小功率管的基极一般排列在三个管脚的中间,可用上述方法,分别将黑、红表笔接基极,既可测定三极管的两个PN结是否完好(与二极管PN结的测量方法一样),又可确认管型。

②集电极和发射极的判别

确定基极后,假设余下管脚之一为集电极c,另一为发射极e,用手指分别捏住c极与b极(即用手指代替基极电阻Rb)。同时,将万用表两表笔分别与c、e接触,若被测管为NPN,则用黑表笔接触c极、用红表笔接e极(PNP管相反),观察指针偏转角度;然后再设另一管脚为c极,重复以上过程,比较两次测量指针的偏转角度大的一次表明IC大,管子处于放大状态,相应假设的c、e极正确。

1. 用指针式万用表检测

首先选量程:R﹡100或R﹡1K档位;然后,测量PNP型半导体三极管的发射极和集电极的正向电阻值,红表笔接基极,黑表笔接发射极,所测得阻值为发射极正向电阻值,若将黑表笔接集电极(红表笔不动),所测得阻值便是集电极的正向电阻值,正向电阻值愈小愈好。再次,测量PNP型半导体三极管的发射极和集电极的反向电阻值。将黑表笔接基极,红表笔分别接发射极与集电极,所测得阻值分别为发射极和集电极的反向电阻,反向电阻愈小愈好。倘若测试结果偏离甚远,就可以认为管子是坏的,如极间击穿,则正、反向电阻值均为零。若烧断,则均为无穷大。测量NPN型半导体三极管的发射极和集电极的正向电阻值的方法和测量PNP型半导体三极管的方法相反。2. 用数字式万用表检测

利用数字万用表不仅能判定晶体管的电极、测量管子的共发射极电流放大系数HFE,还可以鉴别硅管与锗管。由于数字万用表电阻档的测试电流很小,所以不适用于检测晶体管,应使用二极管档或者HFE进行测试。

将数字万用表拨至二极管档,红表笔固定任接某个引脚,用黑表笔依次接触另外两个引脚,如果两次显示值均小于1V或都显示溢出符号“OL”或“1”,若是PNP型三极管,则红表笔所接的引脚就是基极B。如果在两次测试中,一次显示值小于1V,另外一次显示溢出符号“OL”或“1”(视不同的数字万用表而定),则表明红表笔接的引脚不是基极B,此时应改换其他引脚重新测量,直到找出基极为止。

用红表笔接基极,用黑表笔先后接触其他两个引脚,如果显示屏上的数值都显示为0.6-0.8V,则被测管属于硅NPN型中、小功率三极管;如果显示屏上的数值都显示为0.4-0.6V,则被测管属于硅NPN型大功率三极管。其中,显示数值较大的一次,黑表笔所接的电极为发射极。在上述测量过程中,如果显示屏上的数值都显示都小于0.4V,则被测管属于锗三极管。

HFE是三极管的直流电流放大倍数。用数字万用表可以方便的测出三极管的HFE,将数字万用表置于HFE档,若被测管是NPN型管,则将管子的各个引脚插入NPN插孔相应的插座中,此时屏幕上就会显示出被测管的HFE值。

三极管性能的简易测量

(1)用万用表电阻档测I CEO和β

基极开路,万用表黑表笔接NPN管的集电极c、红表笔接发射极e(PNP管相反),此时

c、e间电阻值大则表明I CEO小,电阻值小则表明I CEO大。

用手指代替基极电阻R b,用上法测c、e间电阻,若阻值比基极开路时小得多则表明β值大。

(2)用万用表hFE档测β

有的万用表有hFE档,按表上规定的极型插入三极管即可测得电流放大系数β,若β很小或为零,表明三极管己损坏,可用电阻档分别测两个PN结,确认是否有击穿或断路。

第二章最小系统

最小系统元件清单:

电路图:

最先系统简要说明:

1引脚9(RST)为复位引脚,高电平有效,上电自动复位,按键复位。

2引脚18、19外接晶振电路,位单片机提供11.0592zH的频率。

3因单片机P0口内部无上拉电阻(10k),则外接。

4引脚31位内外程序存储器选择端,接高电平是选择内部存储器。

5 D3为电源指示灯。

6 电容C4、C5虑除电源部分的高低频干扰。

7 二极管D1为保护电路用,防止电源接反对电路的损毁。

第三章自我设计

第一节 1602液晶的应用

该部分为系统提供各模块的数据输出情况及模块与模块之间的控制情况,如图

显示的依次为:年、月、日、星期、超声波检测的距离单位cm、温度、时、分、秒,当调节时右后向前相应功能闪,待调节,其中温度和时间闪时为默认报警值。

简介

字符型液晶,能够同时显示16x02即32个字符。(16列2行)管脚功能

第二节时钟芯片DS1302的应用

该功能位系统提供实时间。

简介:

DS1302是DALLAS公司推出的串行接口实时时钟芯片。它既提供实时时钟,又把关键的数据位存储于RAM。芯片使用简单,外部连线少,在智能化仪表及自动控制领域具有广泛用途。

其主要特点是:

●简单的三线串行I/O接口;

● 2.5~5.5V的电压工作范围(在2.5V工作时耗电小于300nA);

●与TTL兼容(Vcc=5V)时;

●实时时钟包括秒、分、小时、日、月、星期和年(闰年)等信息;

●31*8静态RAM可供用户使用;

●可选的涓流充电方式;

●工作电源和备份电源双引脚输入;

●备份电源可由大容量电容来替代。

引脚功能表及内部结构图

DS1302的引脚及内部结构如图1所示,引脚功能如表1所示。

1302应用电路

第三节 DS18B20温度传感器的应用

该功能为系统提供当前温度值:

概述

DS18B20数字温度传感器接线方便,封装成后可应用于多种场合,如管道式,螺纹式,磁铁吸附式,不锈钢封装式,型号多种多样,有LTM8877,LTM8874等等。主要根据应用场合的不同而改变其外观。封装后的DS18B20可用于电缆沟测温,高炉水循环测温,锅炉测温,机房测温,农业大棚测温,洁净室测温,弹药库测温等各种非极限温度场合。耐磨耐碰,体积小,使用方便,封装形式多样,适用于各种狭小空间设备数字测温和控制领域。

1: 技术性能描述

①、独特的单线接口方式,DS18B20在与微处理器连接时仅需要一条口线即可实现微处理器与DS18B20的双向通讯。

②、测温范围-55℃~+125℃,固有测温分辨率0.5℃。

③、支持多点组网功能,多个DS18B20可以并联在唯一的三线上,最多只能并联8个,实现多点测温,如果数量过多,会使供电电源电压过低,从而造成信号传输的不稳定。

④、工作电源: 3~5V/DC

⑤、在使用中不需要任何外围元件

⑥、测量结果以9~12位数字量方式串行传送

⑦、不锈钢保护管直径Φ6

⑧、适用于DN15~25, DN40~DN250各种介质工业管道和狭小空间设备测温

⑨、标准安装螺纹M10X1, M12X1.5, G1/2”任选

⑩、PVC电缆直接出线或德式球型接线盒出线,便于与其它电器设备连接。

第四节超声波模块的应用

该功能为系统提供检测到的400cm范围内的距离值,为距离检测,报警提供数据。

简介:

第五节语音模块应用

当温度、距离、定时符合设定值时触发该模块,播放录音。简介:

电路:

参考文献

【1】刘松曹金玲《单片机技术应用》

【2】熊伟林《模拟电子技术及应用》

【3】杨志忠《数字电子技术》

Dianzibiao.c文件:

/**************************************************************** ************************

超声波模块语音模块1302 18B20应用(52单片机)

1602液晶显示

================================================================= ========================

说明:按P3.0功能按键次数显示状态P3.1(按键)P3.2(按键)

0次时分显示状态

1次秒闪烁调节增加调节减小

2次时闪烁调节增加调节减小

3次周闪烁调节增加调节减小

4次日闪烁调节增加调节减小

5次月闪烁调节增加调节减小

6次年闪烁调节增加调节减小

7次定时分闪烁调节增加调节减小

8次定时时闪烁调节增加调节减小

9次定时开关闪烁调节置1开启调节置0关闭

10次超声波报警值闪烁调节增加调节减小

11次恢复时分显示状态

日期:2012年3月8日

***************************************************************** ************************/

#include

#include

#define uchar unsigned char

#define uint unsigned int

#define RX P2_5 //ECHO

#define TX P2_4 //RTIG

uint time=0,timer=0; //时间, 定时器

uchar posit=0;

unsigned long S=0;

bit flag1 =0; //标记

sbit lcdrs=P2^7; //1602的4脚

sbit lcde=P2^6; //1602的6脚(5脚直接接地)

sbit ds =P2^3; //18B20引脚

sbit SCLK=P2^0; //1302的7脚

sbit DATA=P2^1; //1302的6脚

sbit RST =P2^2; //1302的5脚

uchar miao,fen,shi,ri,yue,zhou,nian,s1,s2,s3;

uchar read,a,flag,temperature;

uchar normal,alerting; //超声波超界显示控制、

uchar num,add,subtract; //调节选位、调节加、调节减

uchar code table []=" 0^-^0 ";

uint t0,i,temp;

/*延时函数*/

void TempDelay (uchar us)

{

while(us--);

}

void delayum(uchar n)

{

uchar i;

for(i=0;i

}

void delay(uint z)

{

uint x,y;

for(x=z;x>0;x--)

for(y=110;y>0;y--);

}

/*超声波计算及显示*/

void Conut(void)

{

time=TH0*256+TL0;

TH0=0;

TL0=0;

S=(time*1.7)/100; //算出来是CM

s1=S/100;

s2=S%100/10;

s3=S%100%10;

if(S>=700) //超出测量范围显示“-”

{

normal=1;

}

else

{

normal=0;

}

if(S<=50)

{

P1_0=0;

delay(20);

P1_0=1;

}

else

P1_0=1;

}

/**************************************************************** *************************

//---------------------------------------------------------------------------------------*/

/*18b20部分*/

void ds_reset(void)

{

ds=1;

_nop_(); //1us

ds=0;

TempDelay(80); //当总线停留在低电平超过480us,总线上所以器件都将被复位,这里//延时约530us总线停留在低电平超过480μs,总线上的所有器件都

_nop_();

ds=1; //产生复位脉冲后,微处理器释放总线,让总线处于空闲状态,原因查//18b20中文资料

TempDelay(5); //释放总线后,以便从机18b20通过拉低总线来指示其是否在线,

//存在检测高电平时间:15~60us,所以延时44us,进行1-wire presence //detect(单线存在检测)

_nop_();

_nop_();

_nop_();

if(ds==0)

flag=1; //detect 18b20 success

else

flag=0; //detect 18b20 fail

TempDelay(20); //存在检测低电平时间:60~240us,所以延时约140us _nop_();

_nop_();

ds=1; //再次拉高总线,让总线处于空闲状态

}

bit ds_read_bit(void) //对18b20 读一位

{

bit dat;

ds=0; //单片机(微处理器)将总线拉低

_nop_(); //读时隙起始于微处理器将总线拉低至少1us

ds=1; //拉低总线后接着释放总线,让从机18b20能够接管总线,输出有效数据

_nop_();

_nop_(); //小延时一下,读取18b20上的数据,因为从ds18b20上输出的数据

//在读"时间隙"下降沿出现15us内有效

dat=ds; //主机读从机18b20输出的数据,这些数据在读时隙的下降沿出现//15us内有效

TempDelay(10); //所有读"时间隙"必须60~120us,这里77us

return(dat); //返回有效数据

}

uchar ds_read_byte(void ) //对18b20 读一字节

{

uchar value,i,j;

value=0; //一定别忘了给初值

for(i=0;i<8;i++)

{

j=ds_read_bit();

value=(j<<7)|(value>>1); //先读出的是低位,

}

return(value); //返回一个字节的数据

}

void ds_write_byte(uchar dat) //对18b20 写一个字节

{

uchar i;

bit onebit; //一定不要忘了,onebit是一位

for(i=1;i<=8;i++)

{

onebit=dat&0x01;

dat=dat>>1;

if(onebit) //写1

{

ds=0;

_nop_();

_nop_(); //看时序图,至少延时1us,才产生写"时间隙"

ds=1; //写时间隙开始后的15μs内允许数据线拉到高电平

TempDelay(5); //所有写时间隙必须最少持续60us

}

else //写0

{

ds=0;

TempDelay(8); //主机要生成一个写0 时间隙,必须把数据线拉到低电平并保持至少60μs,这里64us

ds=1;

_nop_();

_nop_();

}

}

}

void ds18b20() //18b20

{

ds_reset();

delay(1); //约2ms

ds_write_byte(0xcc);

ds_write_byte(0x44);

}

uint get_temperature() //计算温度

{

float wendu;

uchar a,b;

ds_reset();

delay(1); //约2ms

ds_write_byte(0xcc);

ds_write_byte(0xbe);

a=ds_read_byte();

b=ds_read_byte();

temp=b;

temp<<=8;

temp=temp|a;

wendu=temp*0.0625;

temp=wendu*10+0.5;

return temp;

}

//*************************************************************** **************************

/*1302时钟芯片部分*/

//*************************************************************** **************************

/*向1302中写一个字节*/

void write1302(uchar dat)

{

uchar i;

SCLK=0;

delayum(2);

for(i=0;i<8;i++)

{

DATA=dat&0x01;

delayum(2);

SCLK=1;

delayum(2);

SCLK=0;

dat>>=1;

}

}

/*从1302中读一个字节*/

uchar read1302(void)

{

uchar i,dat;

delayum(2);

for(i=0;i<8;i++)

{

dat>>=1;

if(DATA==1)

dat|=0x80;

SCLK=1;

delayum(2);

SCLK=0;

delayum(2);

}

return dat;

}

/*对1302写命令和数据*/

void writeset1302(uchar cmd,uchar dat)

{

uchar i;

RST=0;

SCLK=0;

RST=1;

delayum(2);

write1302(cmd);

write1302(dat);

for(i=0;i<8;i++) //写命令字{

DATA=cmd&0x01;

delayum(2);

SCLK=1;

delayum(2);

SCLK=0;

cmd>>=1;

}

delayum(2);

for(i=0;i<8;i++) //写数据{

DATA=dat&0x01;

delayum(2);

SCLK=1;

delayum(2);

SCLK=0;

单片机电子表课程设计

烟台南山学院 《单片机原理与接口技术》课程设计 题目:数字电子表设计 学院(系):自动化工程学院 年级专业:电气技术4班 学号: 200902040442 学生姓名:毕天华 指导教师:田敬成

课程设计任务书 学生姓名:毕天华专业班级:电气技术4班 指导教师:田敬成工作单位:自动化工程学院 题目: 基于51单片机的数字电子表的设计 初始条件: 1.运用所学的单片机原理与接口技术知识和数字电路知识; 2.51单片机应用开发系统一套; 3.PC机及相关应用软件; 要求完成的主要任务: 1.完成数字电子表的设计和调试。 2.要求采用四位八段数码管显示时间和日期,并且可以用按键修改时间和 日期。 3.撰写课程设计说明书。 4.课程设计说明书要求:引言、设计要求、系统结构、原理设计、各个模 块的设计与实现、软件设计、调试过程、收获、体会及总结、参考文献、电路图和源程序。说明书使用A4打印纸计算机打印或手写,用Protel 等绘图软件绘制电子线路图纸。 时间安排: 第1天下达课程设计任务书和日程安排,根据任务书查找资料; 第2~3天完成方案论证,单片机系统的设计; 第4~6天参考有关文献,完成程序的编写; 第7~10天调试硬件系统和软件程序; 第11~12天结果分析整理、撰写课程设计报告,验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

基于单片机数字电子表的设计 摘要 随着电子技术的发展,计算机在现代科学技术的发展中起着越来越重要的作用。多媒体技术、网络技术、智能信息处理技术、自适用控制技术、数据挖掘与处理技术等都离不开计算机。本课程设计是基单片机原理与接口技术的简单应用。运用所学的单片机原理和接口技术知识完成数字电子表的设计。 电子表已成为人们日常生活中必不可少的必需品,广泛用于个人家庭以及办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使电子表具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。尽管目前市场上已有现成的电子表集成电路芯片出售,价格便宜、使用也方便,但鉴于电子表电路的基本组成包含了数字电路的主要组成部分,因此进行电子表的设计是必要的,用C语言设计电子表显示程序,要求根据输入程序显示电子表画面。研究电子表及扩大其应用,有着非常现实的意义。 关键词 LED,定时/计器数,C语言,调试,运行。

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

多功能数字电压表课程设计

1.设计主要内容及要求; 设计一个多功能数字电压表。 要求:1)硬件电路设计,包括原理图和PCB板图。 2)数字电压表软件设计。 3)要求能够测量并显示直流电压、交流电压,测量范围0.002V---2V。 2.对设计论文撰写内容、格式、字数的要求; (1).课程设计论文是体现和总结课程设计成果的载体,一般不应少于3000字。 (2).学生应撰写的内容为:中文摘要和关键词、目录、正文、参考文献等。课程设计论文的结构及各部分内容要求可参照《沈阳工程学院毕业设计(论文)撰写规范》执行。应做到文理通顺,内容正确完整,书写工整,装订整齐。 (3).论文要求打印,打印时按《沈阳工程学院毕业设计(论文)撰写规范》的要求进行打印。 (4). 课程设计论文装订顺序为:封面、任务书、成绩评审意见表、中文摘要和关键词、目录、正文、参考文献。 3.时间进度安排;

中文摘要 随着微型计算机及微电子技术在测试领域中的广泛应用,仪器仪表在测量原理、准确度、灵敏度、可靠性、多种功能及自动化水平等方面都发生了巨大的变化,逐步形成了完全突破传统概念的新一代仪器——智能仪器。智能化是现代仪器仪表的发展趋势,许多嵌入式系统、电子技术和现场总线领域的新技术被应用于智能仪器仪表的设计,尤其是嵌入式系统的许多新的理念极大地促进了智能仪器仪表技术的发展。 今年来,随着大规模集成电路的发展,有单片A/D转换器构成的数字电压表获得了迅速普及和广泛应用,它是目前在电子测量及维修工作中最常用、最得力的一种工具类数字仪表。数字电压表具有很高的性价比,其主要优点是准确度高、分辨力强测试功能完善、测量速率快、显示直观。 测试仪器的智能化已是现代仪器仪表发展的主流方向。因此学习智能仪器的工作原理、掌握新技术和设计方法无疑是十分重要的。 关键词智能,数字,电压表,仪器仪表

基于51单片机的电子时钟的设计

目录 0 前言 (1) 1 总体方案设计 (2) 2 硬件电路设计 (2) 3 软件设计 (5) 4 调试分析及说明 (7) 5 结论 (9) 参考文献 (9) 课设体会 (10) 附录1 电路原理 (12) 附录2 程序清单 (13)

电子时钟的设计 许山沈阳航空航天大学自动化学院 摘要:传统的数字电子时钟采用了较多的分立元器件,不仅占用了很大的空间而且利用率也比很低,随着系统设计复杂度的不断提高,用传统时钟系统设计方法很难满足设计需求。 单片机是集CPU、RAM、ROM、定时器/计数器和多种接口于一体的微控制器。它体积小、成本低、功能强,广泛应用于智能产品和工业自动化上。而51系列的单片机是各单片机中最为典型和最有代表性的一种。,本次设计提出了系统总体设计方案,并设计了各部分硬件模块和软件流程,在用C语言设计了具体软件程序后,将各个模块完全编译通过过后,结果证明了该设计系统的可行性。该设计给出了以AT89C2051为核心,利用单片机的运算和控制功能,并采用系统化LED显示模块实时显示数字的设计方案,适当地解决了实际生产和日常生活中对计时高精确度的要求,因此该设计在现代社会中具有广泛的应用性。 关键字:AT89C2051,C语言程序,电子钟。 0前言 利用51单片机开发电子时钟,实现时间显示、调整和闹铃功能。具体要求如下: (1)按以上要求制定设计方案,并绘制出系统工作框图; (2)按要求设计部分外围电路,并与单片机仿真器、单片机实验箱、电源等正确可靠的连接,给出电路原理图; (3)用仿真器及单片机实验箱进行程序设计与调试;

(4)利用键盘输入调整秒、分和小时时刻,数码管显示时间; (5)实现闹钟功能,在设定的时间给出声音提示。 1总体方案设计 该电子时钟由89C51,BUTTON,1602 LCD液晶屏等构成,采用晶振电路作为驱动电路,利用单片机内部定时计数器0通过软件扩展产生的一秒定时,达到时分秒的计时,六十秒为一分钟,六十分钟为一小时,满二十四小时为一天。闹钟和时钟的时分秒的调节是由一个按键控制,而另外一个按键控制时钟和闹钟的时间的调节。 图1 系统结构框图 该电子时钟由STC89C51,BUTTON,1602 LCD液晶屏等构成,采用晶振电路作为驱动电路,晶振电路的晶振频率为12MHZ,使用的定时器/计数器工作方式0,通过软件扩展产生的一秒定时,达到时分秒的计时,60秒为一分钟,60分钟为一小时,24小时为一天,又重00:00:00开始计时。没有按键按键按下时,时钟正常运行,当按下调节时钟按键K1,就会关闭时钟,当按下闹钟按键K3时时钟就会进入设置时间界面,但是时钟不会停止工作,按K2键,,就可以对时钟和闹钟要设置的时间进行调整。 2硬件电路设计

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

多功能电子钟设计报告

电子技术综合训练 设计报告 题目:多功能电子钟设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

简易数字电压表的设计

一、设计题目:简易数字电压表的设计 二、设计目的 自动化专业的专业实践课程。本课程的任务是使学生通过“简易数字电压表的设计”的设计过程,综合所学课程,掌握目前自动化仪表的一般设计要求,工程设计方法,开发及设计工具的使用方法,通过这一设计实践过程,锻炼学生的动手能力和分析,解决问题的能力;积累经验,培养按部就班,一丝不苟的工作个对所学知识的综合应用能力。 三、设计任务及要求 设计电压表并实现简单测量。具有以下基本功能: ⑴可以测量0~5V的8路输入电压值; ⑵可在四位LED数码管上轮流显示或单路选择显示; ⑶测量最小分辨率为0.019V; ⑷.测量误差约为±0.02V; ⑸带有一定的扩展功能; 目录 第一章摘要 (4) 第二章智能仪表目前的发展状况 (4) 第三章设计目的 (6) 第四章设计要求 (6) 第五章设计方案与比较论证 (6) 5.1 单片机电路设计 (6) 5.2 电源方案 (8) 5.3 显示方案 (9) 5.4 A/D采样方案 (10) 5.5串口通讯方案 (12) 5.7 高压,短路报警 (14) 5.8 键盘 (14) 第六章方案设计 (15) 6.1 硬件设计 (15)

6.2 软件设计 (16) 第七章性能测试 (18) 电压测试 (18) 第八章结果分析 (19) 第九章设计体会 (19) 参考文献 (20) 附录 (20) 元器件清单 (20) 程序清单 (20) 第一章摘要 本报告介绍了基于AT89S52单片机为核心的、以AD0809数模转换芯片采样、以1602液晶屏显示的具有电压测量功能的具有一定精度的数字电压表。在实现基础功能要求之上扩展了串口通讯、时钟功能、高压报警、短路测试、电阻测量、交流电压峰峰值和周期测试等功能,使系统达到了良好的设计效果和要求。 关键词:AT89S52单片机模数转换液晶显示扩展功能 ABSTRACT:The report describes the AT89S52 based on the microcontroller as the core, AD0809 digital-to-analog converter chip sampling, to 1602 LCD display with voltage measurement function with a certain precision of digital voltage meter. In achieving functional requirements based upon the expansion of serial communications, high-pressure alarm, short circuit, electrical resistivity measurement, AC voltage and the peak of cycle testing and other functions, allowing the system to achieve good results and the design requirements. Keywords : AT89S52 SCM analog-to-digital conversion functions LCD expansion 第二章智能仪表目前发展状况 在自动化控制系统中,仪器仪表作为其构成元素,它的技术进展是跟随控制系统技术的发展的。常规的自动化仪器仪表适应常规控制系统的要求,它们以经典控制理论和现代控制理论为基础,以控制对象的数学模型为依据。当今,控制理论已发展到智能控制的新阶段,自动化仪器仪表的智能化就成为必然和必须。本文将就自动化仪器仪表的智能化的状况与进展,以及当今对智能仪器仪表研究、开发热点做概要的分析与表述。作者建议人们关注自动化仪器仪表智能化技术的进展,关注仪器仪表装置

电子时钟系统设计

《嵌入式系统》课程设计说明书 电子时钟系统 院部: 学生姓名: 指导教师:职称 专业: 班级: 学号:

湖南工学院嵌入式系统课程设计课题任务书 2.显示的时间为开发板当前的系统时间,显示的结果随着系统时间变化而变 I

摘要 嵌入式操作系统是一种支持嵌入式系统应用的操作系统软件,它是嵌入式系统( 包括硬、软件系统) 极为重要的组成部分,通常包括与硬件相关的底层驱动软件、系统内核、设备驱动接口、通信协议、图形界面、标准化浏览器等Browser 。嵌入式操作系统具有通用操作系统的基本特点,如能够有效管理越来越复杂的系统资源;能够把硬件虚拟化,使得开发人员从繁忙的驱动程序移植和维护中解脱出来;能够提供库函数、驱动程序、工具集以及应用程序。与通用操作系统相比较,嵌入式操作系统在系统实时高效性、硬件的相关依赖性、软件固态化以及应用的专用性等方面具有较为突出的特点。嵌入式技术已成为信息产业中发展最快、应用最广的计算机技术之一,并被广泛应用于网络通信、消费电子、医疗电子、工业控制和交通系统等领域。 本次设计采用QT程序开发框架开发的模拟时钟程序,使用Linux系统到嵌入式终端移植和交叉编译环境搭建,最终成功实现了在嵌入式终端的运行。 关键词:嵌入式系统;QT;模拟时钟;Linux系统

目录 1绪论 (1) 1.1 设计背景 (2) 1.2 设计目的和意义 (2) 2 嵌入式Linux系统 (2) 2.1 嵌入式Linux概念 (2) 2.2 嵌入式Linux组成 (2) 3 Qt工具 (3) 3.1 Qt简介 (3) 3.2 Qt优点 (3) 4 模拟时钟的设计 (4) 4.1 代码的编写 (4) 4.2 代码的调试与运行 (4) 5 模拟时钟到开发板的下载 (6) 5.1 交叉编译环境的构建 (7) 5.2 模拟时钟到开发板的下载运行 (7) 结论 (10) 参考文献 (11) 致谢 (12) 附录 (13)

基于VHDL的多功能数字钟设计报告

基于VHDL的多功能数字钟 设计报告 021215班 卫时章 02121451

一、设计要求 1、具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。 2、设计精度要求为1秒。 二、设计环境:Quartus II 三、系统功能描述 1、系统输入:时钟信号clk采用50MHz;系统状态及较时、定时转换的控制信号为k、set,校时复位信号为reset,均由按键信号产生。 2、系统输出:LED显示输出;蜂鸣器声音信号输出。 3、多功能数字电子钟系统功能的具体描述如下: (一)计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。 (二)校时:在计时显示状态下,按下“k”键,进入“小时”待校准状态,若此时按下“set”键,小时开始校准;之后按下“k”键则进入“分”待校准状态;继续按下“k”键则进入“秒”待复零状态;再次按下“k”键数码管显示闹钟时间,并进入闹钟“小时”待校准状态;再次按下“k”键则进入闹钟“分”待校准状态;若再按下“k”键恢复到正常计时显示状态。若校时过程中按下“reset”键,则系统恢复到正常计数状态。 (1)“小时”校准状态:在“小时”校准状态下,显示“小时”的数码管以2Hz 闪烁,并按下“set”键时以2Hz的频率递增计数。 (2)“分”校准状态:在“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (3)“秒”校准状态:在“秒复零”状态下,显示“秒”的数码管以2Hz闪烁,并以1Hz的频率递增计数。 (4)闹钟“小时”校准状态:在闹钟“小时”校准状态下,显示“小时”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (5)闹钟“分”校准状态:在闹钟“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (三)整点报时:蜂鸣器在“59”分钟的第“51”、“53”、“55”、“57”秒发频率为500Hz的低音,在“59”分钟的第“59”秒发频率为1000Hz的高音,结束时为整点。 (四)显示:采用扫描显示方式驱动4个LED数码管显示小时、分,秒由两组led灯以4位BCD 码显示。 (五)闹钟:闹钟定时时间到,蜂鸣器发出频率为1000Hz的高音,持续时间为60秒。 四、各个模块分析说明 1、分频器模块(freq.vhd) (1)模块说明:输入一个频率为50MHz的CLK,利用计数器分出 1KHz的q1KHz,500Hz的q500Hz,2Hz的q2Hz和1Hz的q1Hz。 (2)源程序: library ieee;

多功能数字钟开题报告

毕业设计(论文)开题报告

1 选题的背景和意义 1.1 选题的背景 21世纪,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。手表当然是一个好的选择,但是,什么时候到达所需要的时间却难以判断。所以,要制作一个定时系统。随时提醒这些容易忘记时间的人。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。随着人类科技文明的发展,人们对于时钟的要求在不断地提高。时钟已不仅仅被看成一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。高精度、多功能、小体积、低功耗,是现代时钟发展的趋势。在这种趋势下,时钟的数字化、多功能化已经成为现代时钟生产研究的主导设计方向。 1.2 国内外研究现状及发展趋势 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机具有体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。 目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。电子钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用。随着人们生活环境的不断改善和美化,在许多场合可以看到数字电子钟。在城市的主要营业场所、车站、码头等公共场所使用lcd数字电子钟已经成为一种时尚。但目前市场上各式各样的lcd数字电子钟大多数用全硬件电路实现,电路结构复杂,功率损耗大等缺点。因此有必要对数字电子钟进行改进。

基于51单片机的电子表设计

1 绪论 电子表是20世纪50年代才开始出现的新型计时器。最早的一款电子表被称做“摆轮游丝电子表”,它诞生于1955年。这种手表用电磁摆轮代替发条驱动,以摆轮游丝作为振荡器,微型电池为能源,通过电子线路驱动摆轮工作。它的走时部分与机械手表完全相同,被称为第一代电子手表。1960年,美国布洛瓦公司最早开始出售“音叉电子手表”。这种手表以金属音叉作为振荡器,用电子线路输出脉冲电流,使机械音叉振动。它比摆轮式电子手表结构简单,走时更精确,被称为第二代电子手表。1969年,日本精工舍公司推出了世界上最早的石英电子表。石英电子表的出现,立刻成为了钟表界主流产品,它走时精确,结构简单,轻松地将一、二代电子表,甚至机械表淘汰出局。石英表又称“水晶振动式电子表”,因为它是利用水晶片的“发振现象”来计时的。当水晶受到外部的加力电压,就会产生变形和伸缩反应;如果压缩水晶,便会使水晶两端产生电力。这样的性质在很多结晶体上也可见到,称为“压电效果”。石英表就是利用周期性持续“发振”的水晶,为我们带来准确的时间。 单片机是一种集成电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的微型计算机系统,在工业控制领域的广泛应用。从上世纪80年代,由当时的4位、8为单片机,发展到现在的32位300M的高速单片机。 1.1 单片机的介绍 单片微型计算机简称单片机,是典型的嵌入式微控制器(Microcontroller Unit),常用英文字母的缩写MCU表示单片机,单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。相当于一个微型的计算机,和计算机相比,单片机只缺少了I/O设备。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提

多功能数字钟数字逻辑系统设计

题目:多功能数字钟 院校:华侨大学厦门工学院 专业:09级电气工程及自动化5班 学生姓名:黄宇鸿 学号:0902105013 指导老师:解源老师 课题时间:2011/5/14~2011/6/3 2011年5月31日

设计任务书 设计目的: 使我们在学习完《电子技术基础》上,更加牢固深化和巩固数字逻辑电路的基本概念;系统地掌握逻辑电路的分析和设计方法;熟悉一些典型的、有代表性的线路及其应用特性;通过此次亲自动手设计课程实验,培养设计与调试数字电路的能力。为学好后续课作好准备。 设计内容: 1、设计具有“分”、“时”十进制数字显示的电子钟。 2、小时的计时为24进制,分(秒)的计时为60进制。 3、具有时间校对功能,分别对“分”、“时”进行校对。 4、采用74系列中小规模集成器件。 所需器件:(个人用) 1、集成芯片:74LS48(4片)、74LS160(4片)、74LS00(2片)、74LS04(1片)、NE555(1片)、共阴七段数码管(4个)。 2、元器件:电容:电解电容(10μF 1个)、瓷片电容(10nF 3个)。 3、电阻:3.3kΩ(2个)、15kΩ(1个)、68kΩ(1个)。 4、其他器件:面包板(4块)、导线(红、白线若干)、LED红色发光二极管(1个)。 5、制作工具:镊子,钳子,万用表,试验用可调直流电源。 设计正文 一、系统概述: 1.1设计背景与意义: 随着人类的进步科学技术的发展,时间观也越来越被人们重视,而能够准确的知道时间能够提高人们的工作效率,能更好的在规定的时间内完成所规定的工作。因此能有随时随地的知道当前时间是非常重要的。随着科学技术的发展,单片机技术的不断完善,使得数字钟得设计变得更加灵便、更加简单、功能更加完善、计时更加准确。 1.2总体方案设计: 根据系统设计的要求和设计思路,确定该系统的设计结构。如下图。硬件电路主要由74系列集成块、集成定时器NE555、共阴七段数码管显示、LED二

电子跑表的设计

课程名称单片机原理与应用课程设计课题名称电子跑表设计 专业电子信息工程 班级1501 学号03 姓名谭青权 指导老师肖锋 2015年6月*日

报告撰写要求(此页不打印) 课程设计报告是体现课程设计成果的载体,具体要求如下: 1、课程设计报告的基本格式 (1)说明书统一使用word文档打印,A4纸张,页边距设置为:上2cm,下2cm,左2.54cm,右2cm。 (2)正文采用宋体小四,字间距20磅;1级标题采用黑体小三,2级标题采用黑体四号,3级标题采用黑体小四;1和2级标题段落间距为上下0.5行。 (3)图表需统一编号,图标标题采用黑体五号;图标题在图片下方,表格标题在表格上方。 (4)装订顺序为:封面、任务书、报告正文、评分表。 2、课程设计报告的撰写要求 (1)设计报告正文内容为5-6页为宜,主要内容为自己的设计思路、设计步骤、关键性步骤的记录、重要结果的记录以及自己本次课程设计的总结。报告撰写要求思路清晰、结构合理、层次清晰,报告简洁但又要能体现设计过程。 (2)报告中图表要求清晰、规范,图表的尺寸大小适当。 (3)课程设计报告内容(仅供参考):

电气信息学院 课程设计任务书 课题名称电子跑表的设计 姓名谭青权专业电子信息工程班级1501 学号03 指导老师肖锋 课程设计时间2017/12/11-2017/12/22 一、任务及要求 设计任务: 利用单片机为核心设计一个多功能电子表,具有电时钟和跑表功能。用做时钟时,在显示器上显示时、分、秒,用做跑表时,显示范围00时00分00秒-23时59分59秒并具有跑表启动和跑表复位功能键。当按下启动按钮跑表开始计时,按下停止按停止计时,当按下复位按钮跑表回零。 设计要求: 1)确定系统设计方案; 2)进行系统的硬件设计; 3)完成必要的参数计算与元器件选择; 4)完成应用程序设计; 5)应用系统的硬件和软件的调试。 二、进度安排 第一周: 周一:集中布置课程设计任务和相关事宜,查资料确定系统总体方案。 周二~周三:完成硬件设计和电路连接 周四~周日:完成软件设计 第二周: 周一~周三:程序调试 周四~周五:设计报告撰写。周五进行答辩和设计结果检查。 三、参考资料 1、王迎旭等.单片机原理及及应用[M]. 2版.机械工业出版社,2012 2、胡汉才.单片机原理及其接口技术[M].3版.清华大学出版社,2010. 3、戴灿金.51单片机及其C语言程序设计开发实例[M].清华大学出版社,201

多功能数字钟实验报告

《多功能数字钟电路的设计、制作》 课程设计报告 班级:(兴) 2008级自动化 姓名:胡荣 学号:2008960623 指导教师:刘勇 2010年11月13日

目录 一、设计目的.................................1 二、设计内容及要求...........................1 三、总设计原理...............................1 四、主要元件及设备...........................2 五、单元电路的设计...........................5 1、数字电子计时器组成原理.................5 2、用74LS160实现12进制计数器..............6 3、校时电路...............................7 4、时基电路设计...........................8 六、设计总电路图.............................8 七、设计结果及其分析.........................8 八、设计过程中的问题及解决方案...............9 九、心得体会.................................9 十、附录.....................................10

多功能数字钟电路设计 一、设计目的 通过课程设计要实现以下两个目标:一、初步掌握电子线路的设计、组装及调试方法。即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让我们开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计内容及要求 1、功能要求: ①基本功能: 以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。 ②扩展功能: 定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。 2、设计步骤与要求: ①拟定数字钟电路的组成框图,要求先实现电路的基本功能,后扩展功能,使用的器件少,成本低; ②设计各单元电路,并用Multisim软件仿真; ③在通用电路板上安装电路,只要求显示时分; ④测试数字钟系统的逻辑功能; ⑤写出设计报告。设计报告要求:写出详细地设计过程(含数字钟系统的整机逻辑电路图)、调试步骤、测试结果及心得体会。 三、总设计原理 数字电子钟原理是一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。 四、主要元件及设备 1、给定的主要器件: 74LS00(4片),74LS160(4片)或74LS161(4片),74LS04(2片),74LS20(2片),74LS48(4片),数码管BS202(4只),555(1片),开关(1个),电阻47k(2个)电容10uF(1个)10nF(1个) 各元件引脚图如下图:

数电自主实验——多功能电子表的设计与实现

多功能电子表的设计与实现 ——基于Basys2开发板电路设计及仿真1.实验目的 1.了解有关FPGA的基本知识以及在电路设计的应用; 2.了解并学会利用Verilog HDL硬件开发语言设计特定功能的电路,加深对知识的理解;3.了解Basys2开发板的特点并利用其元件在硬件上实现电路功能; 4.在完成电路设计的过程中积累实际工程开发的经验; 5.培养对于新型实验器材的理解和学习能力; 6.在实验中练习并熟悉有关嵌入式系统开发的过程,为未来的学习打下基础。 2.总体设计方案或技术路线 1.查阅资料,了解Basys2工作相关特点,对于FPGA的开发过程有初步认识; 2.学习Verilog HDL硬件开发语言,阅读相关程序实例加深对于编程语言及模块的理解;3.确定本次试验电子表的功能,编写程序进行实现; 4.对于编写程序进行调试,修改编写过程中出现的语法错误; 5.再对上一步中调试好的程序进行仿真,编写仿真代码,分析输出并进一步修改程序;6.对于仿真好的程序建立ucf文件进行引脚约束及综合,生成bit文件; 7.将bit文件烧写到开发板中,在硬件中实现预定功能; 8.对整个实验过程进行总结,分析输出效果并寻找改进方法。 3.实验电路图 由于本实验的电路设计基本全部由Verilog HDL硬件编程语言完成(具体代码附于报告结尾处),因此,没有具体芯片电路图。 而在仿真软件中,提供了实验电路的RTL级原理图和技术原理图。因此我们可以利用ISE Design Suite 14.7电路设计和仿真软件自动生成实验电路的原理图,具体操作过程为,在编写好程序后,双击鼠标左键选择运行Synthesize - XST对电路进行综合,综合成功后,在其子目录下会有View RTL Schematic和View Technology Schematic两个选项,双击这两个选项即可查看该电路的RTL级原理图和技术原理图(如下图)。 由于电路的搭建主要由代码实现,因此软件提供的主要为电路的输入输出原理图,而非具体的电路图,但对于工程的建立与调试已经足够,也就不需要另画详细的电路图了 RTL级原理图:

电子电路课程设计报告-多功能数字钟毕业论文

湖南工程学院 课程设计 课程名称_________ 数字电路技术__________________ 课题名称_________ 多功能数字钟__________________ 专业_____________ 班级_____________________________________ 学号_________________________________________ 姓名____________________________________ 指导教师_________________________________ 2011 年10 月21 日

目 一、设计要求内容与要求 二、设计总体思路,基本原理和框图 三、单元电路设计 四、仿真结果 五、实验调试 六、总结与体会 七、整机原理图

二、设计总体思路,基本原理和框图 本次课程设计依据数字钟应具有时、校时两大主要功能,可知该电路必须有如下几部分组成,信号发生器、计数器、译码器、显示器。然而其中信号发生器是秒、分、时所共有的,秒、分、时又分别拥有自己的计数器、译码器和显示器。其中秒、分、时相互之间的关系又各不相同,从秒到分与从分到时都是六十进一,而小时则是逢24则归零,故需分开设计。秒、分、时之间还涉及到进位的设计。 基本原理:信号发生器产生频率为1HZ的信号送往秒计时器,开始计时,通过进位一次让分、时计时器计时,再将其分别译码,最后显示出来,当其中还要有附加电路校时。 数字时钟原理框图如下

单元电路设计 1■信号发生器 在本次课程设计中采用了有 CB555定时器设计的一个多振荡器,当然也可以采用石 英晶体多振荡器。 多振荡器是一种自激振荡器,在接通电源以后,不需要外加触发信号,便能自动 产生就行脉冲。由CB555的特性参数,可知当电源5V 时,在100没mA 的输出 电流下输出电压为3.3V ,故取Vcc 为5V 可以满足脉冲幅度的需求。当参数如下 时,周期T=( R 1+2R 2)Cln2=1。这时刚好满足一秒钟的信号要求。 [R]/4 k Ohm ffiO% 47 k Ohm -AAAr- WuF 555 GNC vcc TRI CIS QUT 7HR REE CON 0.01 uF GM ED- VCC 图2 555芯片 47 k Ohm r-AA/V < ri TR I OLII Ff ELS DIS II R

数字电压表的设计毕业设计论文

田唯迪:数字电压表的设计 华东交通大学理工学院 Institute of Technology. East China Jiao tong University 毕业设计 Graduation Design (2011 —2015 年) 题目数字电压表的设计 分院:电气与信息工程分院 专业:工程及其自动化 班级:电力2011-1 学号: 学生姓名:田唯迪 指导教师: 起讫日期:2015-01-01—2015-05-10

华东交通大学理工学院毕业设计 摘要 在电子应用领域,工业自动化仪表已经有了非常广泛的应用。本文设计的数字电压表以AT89C51单片机为主要控制器件,利用ADC0808把模拟信号转换为数字信号并加以显示的电路。它的设计主要包括硬件电路和系统程序两部分设计。硬件电路主要是单片机最小设计模块、A/D转换模块和显示模块的设计,系统程序设计则是通过AT89C51单片机先将系统初始化,通过ADC0808转换芯片把模拟量转换成数字量,最后通过数码管显示数据。设计的数字电压表的测量范围为200mv—10v,对直流电压进行测量。该电路功能强大,有报警系统,可控制测量范围,数码管显示精度高,可扩展性强等优点。 数字电压表的应用在很多领域,有非常好的应用前景。对数字电压表进行研究很有必要性。这对我们研究单片机技术是很有帮助的。 关键词:AT89C51;ADC0808;电压测量;A/D转换 1

田唯迪:数字电压表的设计 Abstract In electronic applications, industrial automation instruments have a very wide range of applications. This design of a digital voltmeter to AT89C51 microcontroller as the main control device, use it ADC0808 analog signals into digital signals and display them circuit. Its design includes hardware and system design program in two parts. The hardware circuit design module is the smallest single-chip design A / D converter module and display module, system programming is through the first AT89C51 SCM system initialization, by ADC0808 converter chip to convert analog to digital, and finally through a digital display data. Measuring range designed digital voltmeter is 200mv-10v, DC voltage measurement. The circuit is powerful, alarm system, control measuring range, digital display and high precision, scalability and other advantages.残骛楼諍锩瀨濟溆塹籟。 Application of digital voltmeter in many areas, there is a very good prospect. Conduct research on the digital voltmeter very necessity. This single-chip technology for our study is helpful.酽锕极額閉镇桧猪訣锥。 Key words: T89C52; ADC0808; V oltage measurement;A/D converter 2

相关主题
文本预览
相关文档 最新文档