当前位置:文档之家› 电子脉搏计设计

电子脉搏计设计

电子脉搏计设计
电子脉搏计设计

电子脉搏计设计

第一章绪论 (1)

第二章各种元器件及其应用 (2)

1、集成同步计数器及其应用 (2)

2、BCD-七段共阴数码管 (4)

3、74LS161计数器的应用 (7)

4、五进制的自循环 (9)

5、集成同步计数器及其应用 (10)

6、用74LS161构成一个十进制计数器 (11)

7、四进制的自循环 (12)

8、用74LS290设置七进制计数器 (14)

9、七进制的自循环 (16)

第三章数字脉搏计时器的方案比较 (18)

3.1方案论证 (18)

3.2提出方案 (18)

3.3方案比较 (20)

第四章单元电路的设计 (20)

4.1电路总体框图 (20)

4.2采集、放大与整形电路 (20)

4.2.1传感器 (21)

4.2.2放大电路 (21)

4.2.3整形电路 (22)

4.3倍频电路 (23)

4.4基准时间产生电路 (25)

4.5计数、译码、显示电路 (25)

4.6 控制电路 (28)

第一章绪论

脉搏是临床检查和生理研究中常见的生理现象,包含了反映心脏和血管状态的重要生理信息。人体内各器官的健康状态、病变等信息将以某种方式显现在脉搏中即在脉象中。人体脉象中富含有关心脏、内外循环和神经等系统的动态信息,我们可以通过对脉搏波检测得到的脉波图含有出许多有诊断价值的信息,可以用来预测人体某些器脏结构和功能的变换趋势,如:血管几何形态和力学性质的变异会引起脉搏波波形和波速等性质的改变,而脉搏的病理生理性改变常引发各种心血管事件,脉搏生理性能的改变可以先于疾病临床症状出现,通过对脉搏的检测可以对如高血压和糖尿病等引起的血管病变进行评估。同时脉搏测量还为血压测量,血流测量及其他某些生理检测技术提供了一种生理参考信号。

在医院临床监护和日常中老年保健中,脉搏是一项基本的生命指标,因而脉搏测量是最常见的生命特征的提取。近年来出现的日常监护仪器,如便携式电子血压计,可以完成脉搏的测量。但是这种便携式电子血压计利用微型气泵加压橡胶气囊,每次测量都需要一个加压和减压的过程,存在体积庞大、加减压过程会有不适、脉搏检测的精确度低等缺点。

第二章各种元器件及其应用

1、集成同步计数器及其应用

集成同步计数器74LS160(异步清零)、74LS162(同步清零)为十进制计数器,74LS161(异步清零)、74LS163(同步清零)为四位二进制计数器,它们都是边沿触发的同步加法计数器。CLR为清零端,LOAD为置数端,一般均以低电平为有效电平。若需要构成其他进制计数器,只需把计数输出加上适当门电路反馈到异步清零端CLR或同步置数LOAD即可。

例、试利用十进制计数器74160构成六进制计数器。

(1)原理

74160N为异步清零、同步预置数的同步十进制计数器。74160N元件如图所示,CLR为异步清零端;LOAD为同步置数端;ENP、ENT为计数控制端,且高电平为有效电平;D、C、B、A为预置数据输入端;QD、QC、QB、QA为输出端,RCO为进位端。(2)创建电路

○1在元(器)件库中选中74160N,再利用同步置数的LOAD构成六进制计数器,故取清零端CLR、计数控制端ENP、ENT接高电平1(VCC)。

○2取方波信号作为时钟计数输入。双击信号发生器图标,设置电压V1为5 V,频率为50Hz。

○3送数端LOAD同步作用,设并行数据输入DCBA=0000,LOAD取QB、QC的与非,当QDQCQBQA=0110时,LOAD=0,等待下一个时钟脉冲上升沿到来,将并行数据DCBA=0000置入计数器。

○4在元(器)件库中单击显示器件选中带译码的七段LED数码管U1(DCD-HEX),连接电路如图所示。

(3)观测输出

启动仿真开关,数码管循环显示0,1,2,3,4,5,6。

仿真输出也可以用逻辑分析仪观察。双击信号发生器图标,频率改为1 kHz。将74160N时钟输入CLK、输出QAQBQCQD及RCO进位从上到下依次接逻辑分析仪,双击逻辑分析仪图标,电路输出波形如图所示。显然输出QDQCQBQA按0000、0001、0010、0011、0100、0101、0110循环,且QDQCQBQA=0110时,RCO无进位输出.

图2.1.1 仿真电路

图2.1.2 仿真电路

图2.1.3 逻辑分析仪的输出波形

2、BCD-七段共阴数码管

实验目的:○1了解74160十进制计数器的功能和特性

○2了解八输入共阴七段数码管和四输入七段共阴数码管的区别

○3学会BCD-七段显示译码器7448和八输入七段共阴显示器的联合应用,掌握7448的引脚功能

模拟实验仪器:multisim仿真软件、74160N(十进制计数器)、7448N(BCD-七段显示译码器)、RPACK_VARIABLE_1*7,SEVEN_SEG_COM_K(八输入的七段共阴显示器),CDC_HEX(四输入显示器)。实验原理:

当R’d=1、LD’=0时,电路工作在同步预置数状态。这时G16~G19的输出始终是1,所以FF0~FF3输入端J、K的状态由D0~D3状态决定。例如D0=1,则J0=1,K0=0,CLK 上升沿到达后FF0被置一。

当R’d=LD’= 1而EP=0、ET=1时,由于G16~G19的输出均为0,FF0~FF3输入端J=K=0,所以时钟信号到达时他们保持原来的状态不变。C的状态也得到保持。如果ET=0,则EP无论为何状态,计数器的状态也将保持不变,但C=0.

当R’d=LD’= EP=ET=1时,电路工作在计数状态,从电路的0000状态开始输入10

表2.2.2 BCD-七段显示译码器电路真值表

灯测试输入端LT’:

当LT’=0的信号输入时,G4、G5、G6、G7的输出同时为高电平,使A10=A11=A12=0。只要令LT’=0,便可使被驱动的数码管的七段同时点亮,以检查该数码管各段是否正常。平时接高电平1.

灭零输入RBI’:

设置灭零输入信号的目的是为了把不需要显示的0熄灭

灭灯输入/灭零输出BI’/RBO’:

作为输入端时称为灭灯输入控制端,只要BI’=0,各段同时熄灭。作为输出端时称为灭零输出端RBO’=(A’3A’2A’1A’0*RBI* LT’)’,只有A3=A2=A1=A00,且RBI’=0时,RBO’=0。

multisim仿真如图1.2.2

图中为了突出七段共阴显示器与四输入显示器的区别,将其连接在一个图中,可以明显看到四输入的数码显示器不需要译码电路,而且在仿真中要求比较低,八输入的共阴数码管显示器不仅仅需要译码电路,而且对电流的大小有要求,主要是最大电流,只有几毫安左右。

图2.2.2 仿真电路

3、74LS161计数器的应用

74LS161是常用的四位二进制可预置的同步加法计数器,他可以灵活的运用在各种数字电路,以及单片机系统种实现分频器等很多重要的功能:

管脚图介绍:

时钟CP和四个数据输入端P0~P3

清零/MR

使能CEP,CET

置数PE <74ls161引脚图>

数据输出端Q0~Q3

以及进位输出TC. (TC=Q0·Q1·Q2·Q3·CET)

从74LS161功能表功能表中可以知道,当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,这个时候为异步复位功能。当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。而只有当CR=LD=EP=ET=“1”、CP脉冲上升沿作用后,计数器加1。74LS161还有一个进位输出端CO,其逻辑关系是CO= Q0·Q1·Q2·Q3·CET。合理应用计数器的清零功能和置数功能,一片74LS161可以组成16进制以下的任意进制分频器。

例、分析图图1.3.1给出的电路,说明这是多少进制的计数器,两片之间是多少进制。74ls161的功能表见表1.3.1。

图2.3.1

图2.3.2 仿真电路

这是采用整体置数法接成的计数器,再出现LD’=0信号以前,两片74 ls161均按十六进制技术。即第一片到第二片为十六进制。当第二片记为5时第一片记为2时产生LD’=0信号,待下CLK信号到达后两片74ls161同时被置零,总进制为5*16+2+1=83 故为八十三进制计数器。

4、五进制的自循环

电路组成74160 与非门脉冲信号源5V电源液晶显示屏

电路原理74160是一个十进制器件,要想实现五进制的自循环,首先要明白10进制的工作原理。十进制是将A.B.C.D四个输入端首先接0低电平,EP,ET接5V高电平,QA QB QC QD 接显示屏,当脉冲信号到来时,显示屏上将显示从0~9的数循环。现在我们来实现七进制计数器,假如我们要实现从5~0的循环,我们只需将ABCD输入端接为二进制的五即可,当到达最大数时要实现从最小的数开始循环,所以我们在输出为最大时的二进制数接LD灭零输入,所以就实现了五进制的自循环。

电路图如下所示

图2.4.1 仿真电路

问题;:当脉冲信号到来时,我们发现显示屏上的数字是从0~9开始运行的,但第二次循环甚至以后每次的循环都是从0到5进行的,这是怎么回事呢?

解答::第一次从0到9,后来又都变成0到5循环是因为当脉冲信号到来时,由无关态进入到有效态,这实际是一个过渡的过程状态图如下

0000 →0001 →0010

↑↓

0101 →0011 →0100

图2.4.2 状态转化图

5、集成同步计数器及其应用

集成同步计数器74LS160(异步清零)、74LS162(同步清零)为十进制计数器,74LS161(异步清零)、74LS163(同步清零)为四位二进制计数器,它们都是边沿触发的同步加法计数器。CLR为清零端,LOAD为置数端,一般均以低电平为有效电平。若需要构成其他进制计数器,只需把计数输出加上适当门电路反馈到异步清零端CLR或同步置数LOAD即可。

例、试用两片同步十进制计数器74160接成二十九进制计数器。

(1)原理

74160N为异步清零、同步预置数的同步十进制计数器。74160N元件如图所示,CLR为异步清零端;LOAD为同步置数端;ENP、ENT为计数控制端,且高电平为有效电平;D、C、B、A为预置数据输入端;QD、QC、QB、QA为输出端,RCO为进位端。采用整体置零的接法,首先将两片74160以并行进位方式连成一个百进制计算器。当计数器从全0状态开始计数,计入29个脉冲时,经门G译码产生低电平信号立即将

两片74160同时置零,于是便得到了二十九进制计数器。

(2)创建电路

○1在元(器)件库中选中74160N,再利用同步置数的LOAD构成29进制计数器,故取第一片的清零端CLR、计数控制端ENP、ENT接高电平1(VCC)。第一片计数器的进位输出接第二片的ENP、ENT。QD为高位,QA为低位。

○2取方波信号作为时钟计数输入。双击信号发生器图标,设置电压V1为5 V,频率为10Hz。

○3送数端LOAD同步作用,设并行数据输入DCBA=0000,第一片LOAD取QD为高电平,当QDQCQBQA=1001时,几位输出第二片计数1,第一片LOAD=0,等待下一个时钟脉冲上升沿到。当它从全零状态开始计数并接受到28个计数脉冲,然后将电路的28状态译码产生LD’=0,同时加到两片74160中,在下一个计数脉冲(第29个输入脉冲)到达时,将0000同时置入两片74160中,从而得到二十九进制计数器。进位信号可以直接由门G的输出端引入。

○4在元(器)件库中单击显示器件选中带译码的四段LED数码管U3,U4(DCD-HEX),连接电路如图所示。

(3)观测输出

启动仿真开关,U3数码管显示0,1,2,3,4,5,6,7,8,9,当显示到9时,U4数码管计数1,U3回零,U3数码管显示0,1,2,3,4,5,6,7,8,9,当显示到9时U4计数2,当U4显示2,U3显示8时达到最大值,再来一次脉冲都回零。这样就能显示0~28,实现由两片同步十进制计数器74160接成二十九进制计数器。

图2.5.1 仿真电路

6、用74LS161构成一个十进制计数器

(1)原理:

计数器输入端CLK接外来时钟,A、B、C、D端都接地,将计数器输入端与QA、QB、QC、QD相连,QD为高位输出,QA为低位输出,则构成一个十进制计数器。CLR 为异步清零端,LOAD为同步预置数端,ENT、ENP为计数控制端,且高电平有效。从74LS161功能表功能表中可以知道,当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,这个时候为异步复位功能。当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。而只有当CR=LD=EP=ET=“1”、CP脉冲上升沿作用后,计数器加1。74LS161还有一个进位输出端CO,其逻辑关系是CO= Q0·Q1·Q2·Q3·CET

(2)创建电路:

在元件库中选中74LS161,再利用异步清零CLR构成十进制计数器。送入时钟信号,观测计数器数值的变化,显示如上图所示,反复观察多次,则可知它是一个异步清零的十进制计数器,证明电路无误。

图2.6.1 仿真电路

(3)观测输出:

启动仿真开关,数码管循环显示0,1,2,3,4,5,6,7,8,9。

7、四进制的自循环

电路组成:74160 与非门脉冲信号源5V电源液晶显示屏

电路原理:74160是一个十进制器件,要想实现四进制的自循环,首先要明白10进制的工作原理。十进制是将A.B.C.D四个输入端首先接0低电平,EP,ET

接5V高电平,QA QB QC QD 接显示屏,当脉冲信号到来时,显示屏

上将显示从0~9的数循环。现在我们来实现四进制计数器,假如我们

要实现从2-6的循环,我们只需将ABCD输入端接为二进制的2即可,

即将A. C. D接低电平,B接高电平。同样要使最大的输出为6可将数

出端的QB. QC同时接到与非门。又当当到达最大数时要实现从最小的

数开始循环,所以我们在输出为最大时的二进制数接LD灭零输入,最

终实现了四进制的自循环。

电路图如下所示:

图2.7.1 仿真电路

图2.7.2 仿真电路

问题:当脉冲信号到来时,我们发现显示屏上的数字是从0~9开始运行的,但第二次循环甚至以后每次的循环都是从2到6进行的,这是怎么回事呢?

解答:第一次从0到9,后来又都变成2到6循环是因为当脉冲信号到来时,由无关态进入到有效态,这实际是一个过渡的过程状态图如下

0010 0011 0100

0110 0101

图2.7.3 状态转换图

8、用74LS290设置七进制计数器

74LS290功能表:

图2.8.1 74LS290 功能表

置“9”功能:当S9(1)=S9(2)=1时,不论其他输入端状态如何,计数器输出Q3 Q2 Q1 Q0=1001,而(1001)2=(9)10,故又称为异步置数功能。

置“0”功能:当S9(1)和S9(2)不全为1,并且R0(1)=R0(2)=1时,不论其他输入端状态如何,计数器输出Q3 Q2 Q1 Q0=0000,故又称为异步清零功能或复位功能。

计数功能:当S9(1)和S9(2)不全为1,并且R0(1)和R0(2)不全为1时,输入计数脉冲CP,计数器开始计数。计数脉冲由CP0输入,从Q0输出时,则构成二进制计数器;计数脉冲由CP1输入,输出为Q2Q1Q0时,则构成五进制计数器;若将Q0和CP1相连,计数脉冲由CP0输入,输出为Q3Q2Q1Q0时,则构成十进制(8421码)计数器;若将Q3和CP0相连,计数脉冲由CP1输入,输出为Q3Q2Q1Q0时,则构成十进制(5421码)计数器。因此,74LS290又称为“二—五—十进制型集成计数器”。

用74LS290设置七进制计数器:

由于CLK1与Q0相连,同时以CLK0为输入端,Q3为输出端,若无附加电路,则为一个十进制计数器。若采用反抗置数法,反馈信号接到S1,S2端,可同步指数为1001,根据图1,当计数状态出现Q2Q=11,即计数到0110时,,S1=S2=1,电路进入异步置数状态,立即置入1001,并从此状态开始计数,所以稳定的状态循环中包括1001-0000-0001-0010-0011-0100-0101-1001共七个状态,所以电路为七进制计数器。

图2.8.2 仿真电路

9、七进制的自循环

电路组成:

74160 与非门脉冲信号源5V电源液晶显示屏

电路原理

74160是一个十进制器件,要想实现七进制的自循环,首先要明白10进制的工作原理。十进制是将A.B.C.D四个输入端首先接0低电平,EP,ET接5V高电平,QA QB QC QD 接显示屏,当脉冲信号到来时,显示屏上将显示从0~9的数循环。现在我们来实现七进制计数器,假如我们要实现从3~0的循环,我们只需将ABCD 输入端接为二进制的三即可,当到达最大数时要实现从最小的数开始循环,所以我们在输出为最大时的二进制数接LD灭零输入,所以就实现了七进制的自循环。

电路图如下所示:

图2.9.1 仿真电路

问题:当脉冲信号到来时,我们发现显示屏上的数字是从0~9开始运行的,但第二次循环甚至以后每次的循环都是从3到9进行的,这是怎么回事呢?

解答::第一次从0到9,后来又都变成3到9循环是因为当脉冲信号到来时,由无关态进入到有效态,这实际是一个过渡的过程状态图如下

0000 0001 0010 0011 0100

1001 1000 0111 0110 0101

图2.9.2 状态转换图

第三章数字脉搏计时器的方案比较

3.1方案论证

电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分。由给出的设计技术指标可知,脉搏计是用来测量频率较低的小信号(传感器输出电压一般为几个毫伏),它的基本功能应该是:

1)用传感器将脉博的跳动转换为电压信号,并加以放大、整形和滤波;

2)在短时间内(15s内)测出每分钟的脉搏数。

本设计要求实现在15s内测量lmin的脉搏数,并且显示其数字。常人脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。

3.2提出方案

满足上述设计功能可以实施的方案很多,现提出下面方案:方案一、原理框图如图所示,图中各部分的作用如下:

图3.2.1

1)传感器:将脉搏跳动信号转换为与此相对应的电脉冲信号。

2)放大与整形电路:将传感器的微弱信号放大,整形除去杂散信号。

3)倍频器:将整形后所得到的脉冲信号的频率提高。如将15s内传感器所获得信号频率的4倍频,即课得到对应一分钟脉冲数,从而缩短测量时间。

4)基准时间产生电路:产生短时间的控制信号,以控制测量时间。

5)控制电路:用以保证在基准时间控制下,使4倍频后的脉冲信号送到计数、显示电路中。

6) 计数、译码、显示电路:用来读出脉搏数,并以十进制数的形式由数码

管显示出来。

7) 电源电路:按电路要求提供符合要求的直流电源。

上述测量过程中,由于对脉冲进行了4倍频,计数时间也相应的缩短了四倍(15s),而数码管显示的数字却是1min的脉搏跳动次数。用这种方案测量的误差为4次/min,测量时间越短,误差也越大。

方案二、门控电路组成数字脉搏计时器的设计

方案二的原理框图如图2-3所示,图中各部分的作用如下:

图2.2.2

1)六进制计数器:用来检测六个脉搏信号,产生五个脉冲周期的门控信号。

2)基准脉冲(时间)发生器:产生周期为0.1s的基准脉冲信号。

3)门控电路:控制基准脉冲信号进入8位二进制计数器。

4)8位二进制计数器:对通过门控电路的基准脉冲进行计数,例如5个脉搏周期为5s,即门打开5s的时间,让0.1s周期的基准脉冲信号进入8位二进制计数器,显示计数值为50,反之,由它可相应求出5个脉冲周期的时间。

5)定脉冲数产生电路:产生定脉冲数信号,如3000个脉冲送入可预置8位计数器输入端。

6)可预置8位计数器:以8位二进制计数器输出值(如50)作为预置数,对3000脉冲进行分频,所得的脉冲数(如得到60个脉冲信号),即心率,从而完成计数值换成每分钟的脉搏次数。现在所得的结果即为每分钟60次的脉搏数。

该方案是首先测出脉搏跳动5次所需的时间,然后再换算为每分钟脉搏跳动的次数。这种测量方法的误差小,误差最多1次/min。此方案的传感器、放大与

基于单片机的电子脉搏计的设计

基于单片机的电子脉搏计的设计

毕业设计论文 基于单片机的电子脉搏计的设计

人们在日常生活或是医学上常常是通过测量脉搏跳动的力度和频率来检测身体的健康状况,而普通的方法是用手按在人腕部的动脉上,根据脉搏的跳动进行计数,这样不仅测量时间长而且精度不高,为了节省测量时间,一般不采用长时间测量,而是几秒钟之内测出脉搏数。本文介绍一种基于STC89C52单片机的电子脉搏计,通过测量腕部动脉的压力,把压力转变为电信号,送入单片机,可以在3秒钟之内精确测量出每分钟脉搏数,测量结果用三位LED数码管显示,并且脉搏波形通过串口送入PC机,实时显示脉搏波形。 脉搏计是最常用的医疗检查设备之一,实时准确的脉搏测量在日常生活、患者监控、临床治疗及体育运动等方面都有着广泛的应用。脉搏测量包括瞬时脉搏测量和平均脉搏测量。瞬时脉搏可以反映心率的快慢,同时能反映心率是否匀齐;平均脉搏测量虽然只能反映心率的快慢,但记录方便。本文设计的电子脉搏计可以把这两个参数在测量时都记录下来并且显示,瞬时测量结果通过PC机实时显示,平均脉搏测量结果通过LED七段数码管显示。 关键词:STC89C52 单片机脉搏串口 Based on SCM electronic pulse plan design

People in daily life or medicine is often measured by the pulse frequency and strength to detect the health status of body by hand, and ordinary people by the arteries in the wrist, according to a pulse count, thus not only beat measuring time long and accuracy is not high, in order to save the measuring time, generally does not use the long time measurement, but a few seconds pulse count. Measured This paper introduces a STC89C52 MCU based on the electronic pulse plan, by measuring the pressure, the wrist artery pressure into electrical signals, into a single-chip microcomputer, can accurate measurement in three seconds per minute, a pulse with measurement results number three LED digital display, and pulse tube through a serial port into PCS, real-time display pulse waveform. Pulse meter is one of the most commonly used in the medical examination device, real-time accurate measurement of the pulse of daily life, patient monitoring, clinical treatment and other aspects of sports have a wide range of applications. Pulse measurements include transient pulse measurement and the average pulse measurement. Instantaneous heart rate may reflect the speed of the pulse, while heart rate can reflect whether the uniform homogeneous; average heart rate, pulse measurement can only reflect the speed though, but the record of convenience. This design of elec- tronic pulse meter to measure these two parameters are recorded and displayed the time, instantaneous measurements in real time through the PC, shows that the average pulse measurements by seven segment LED digital display. Keywords: STC89C52; microcontroller; pulse; the serial interface

数字脉搏计_实验报告

【设计任务与要求】 1、要求用十进制数显示被测人体脉搏每分钟跳动的次数,测量范围30~160次/min; 2、要求在短时间内(5s、15s)测出脉搏数/每分钟; 3、测量范围要求在±4次/min以内; 4、要求锁定每分钟脉搏数,将测量结果通过数码管出来,共分为显示计数过程,不显示技术过程两种方案; 5、要求采用手动清零、自动清零(自启动)两种方式。 【课程方案原理框图】 【课程方案】 1、信号发生与采集将脉搏跳动信号传感器转换为与此相对应的电脉冲信号; 2、放大电路把传感器的微弱电流放大,微弱电压放大,采用高输入阻抗的非门进行放大; 3、低通滤波滤除空气中的高频,只让低频脉冲信号通过。对脉搏信号进行采集的时候,空气中交流工频干扰最大,根据有源滤波原理将其滤除。 4、整形电路可用两个非门组成的施密特触发器对放大后的信号进行整形; 5、定时电路用555定时器组成多谐振荡器,达到5s、15s的精确计时; 6、通过计数、译码、显示读出脉搏数,并以十进制数的形式由数码管显示出来。数码管采用共阴数码管。 【单元电路设计与参数计算】 1、信号发生与采集: 通过陶瓷压电传感器对脉搏进行采样收集。 2、放大与滤波电路: 将5mV的正弦信号放大为5V的正弦信号,即差模电压增益为1000。

图示为用LM324设计的同相放大器,其输出信号,Vi 为幅值为5mV 的输入信号。则另: 倍。,即正弦信号放大了可得10001000,321,33≈= Ω==Ω=Vi Vo Av K R R M R Vi R R Vo )1 3 1(+=左图为二阶低通滤波器电压增益随频率变化曲线,在f=f o 之后随f 增加,增益急剧下降,从而达到低于f 频率通过的效果

数字式脉搏计设计与制作

《数字电子技术》课程设计报告 班级电气1077 学号 1071206138 学生姓名缪亮亮 专业电气工程及其自动化 系别电子与电气工程学院 指导教师电子技术课程设计指导小组 淮阴工学院 电子信息工程系 2009年12月

1、设计目的: a) 培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产 实际知识去分析和解决工程实际问题的能力。 b) 学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知 识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。 c) 进行基本技术技能训练,如基本仪器仪表的使用,常用元器件的识别、测 量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 d) 培养学生的创新能力。 2、设计要求: 要求:实现在15S内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 1、主要单元电路和元器件参数计算、选择; 2、画出总体电路图; 3、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。焊接完 毕后,应对照电路图仔细检查,看是否有错接、漏接、虚焊的现象。 4、调试电路 5、电路性能指标测试 3、总体设计: 3·1数字脉搏测试仪原理方框图

上图即为数字式脉搏计的总体设计框图。该数字式脉搏计由以下几部分组成:1)脉冲产生电路 2)放大整形电路 3)计数电路 4)定时电路 5)译码显示电路 3·2电路组成及工作原理 数字式脉搏计设计的思路是:先由压电陶瓷片YD将拾取的脉搏跳动信号转换成电信号,经LM324放大整形后,送到由CD4553和CD4511组成的计数显示电路。CD4553内部输入端设置了脉冲整形电路,所以对脉冲无甚特殊要求。它只有一组BCD 码输出,但通过内部分时控制可形成三位十进制数字显示。CD4511是译码器,其输出驱动三位LED共阴数码管。BG1、BG2、BG3分别由CD4553的15、1、2脚控制实现三位数码管的分时显示。CD4060组成计数闸门设定电路,R5、R6、C6与其内部电路组成振荡器,振荡器信号经内部213次分频后,由2脚输出延时 60 秒的正脉冲加到CD4553的11脚关闭闸门。使用时,用手表带或松紧带将压电陶瓷片压在手腕的挠动脉处,注意一定要压紧。在合上开关 K1 后即按一下复位开关 K2,使CD4060和CD4553清零,这时计数闸门打开,脉搏信号由CD4553进行计数。1分钟后,CD4060输出一高电平,使计数闸门关闭。这时数码管显示的数字即为每分钟的脉搏数。 3·3各框图的功能和可选电路及特点 1)脉冲产生电路:2)放大整形电路:可选LM324 3)计数电路:可选CD4553 4)定时电路:可选CD4060 5)译码显示电路:可选CD4511驱动共阴极数码管。 3·4电路制作所需的工具 3·5元器件列表

人体脉搏计--课程设计报告

人体脉搏计 (1) 设计内容及要求 设计题目:设计一个人体脉搏计。 内容简要:人体脉搏计的设计是基于传感器,放大电路,显示电路等基础电路的基础上,实现对人体脉搏的精确测量。其设计初衷是适用于各年龄阶段的人群,方便快捷的测量脉搏次数,并用十进制数显示出来。具体的各部分电路接下来将介绍。 传感器信号:传感器采用了红外光电转换器,作用是通过红外光照射人的手指 的血脉流动情况,把脉搏跳动转换为电信号。 放大电路:由于人体脉搏跳动经过传感器后的初始信号电压值很小,所以利用反相放大器将采集的电压信号放大约50倍。又因为该信号不规则,将接入有源滤波电路,对电路进行低通滤波的同时,再次将电压信号放大1.6倍左右。该电路使信号得到80倍的放大,充分的放大方便了后面的工作电路。 整形电路:本电路旨在采用滞回电压比较器对前面放大以后的信号进行整形,使信号更规则,最终输出矩形信号。 倍频电路 :倍频电路的作用是对放大整形后的脉搏信号进行4倍频处理,以便在15s 内测出1min 内的人体脉搏跳动次数,从而缩短测量时间,以提高诊断效率。 基准时间产生电路:基准时间产生电路的功能是产生一个周期为30s (即脉冲宽度为15s )的脉冲信号,以控制在15s 内完成一分钟的测量任务。具体各部分是由555定时器产生一个周期为0.5秒的脉冲信号,然后用一个D 触发器进行二分频得到周期为1s 的脉冲信号。再经过由74LS161构成的十五进制计数器,进行十五分频,再经D 触发器二分频,产生一个周期为30s 的方波,即一个脉宽为15s 的脉冲信号。 计数、译码、显示电路:计数器采用3个二进制计数器74LS161分别作个、十、百位,并将其设计成十进制计数器(逢十进位),再由7448译码器译码后接到七段数码管LTS547R (共阴极)上完成三位数十进制数的显示。 控制电路:控制电路的作用主要是控制脉搏信号经放大、整形、倍频后进行计数的时间,另外还具有启动电路及为各部分电路清零等功能 设计要求:最终仪器要能够实现在15s 内测量1min 的脉搏数,并且显示其十进制数字。参考值:正常人的脉搏数为60~80次/min ,婴儿为90~100次/min ,老人为100~150次/min 。所以需要三个显示数码管才能完成显示功能。 (2) 系统框图介绍及方案选择 结合以上各部分电路内容及设计要求分析,以控制电路为枢纽,将经传感器、放大整形电路、倍频电路的脉搏信号和时间信号通过控制电路实现对计数器的控制,使其能够准确的显示脉搏数。脉搏计的原理结构图如下: 根据此框图,各部分电路有如下几种设计方案:放大电路可以在同相放大器和反相放大器之间选择,二者几乎没有区别,在此选择使用反相比较器;整形电路可以用555构成的施密特触发器或者由运放组成的迟滞电压比较器,考虑到运放的使用较555简单方便,图1 脉搏计结构框图 控 制 电 路 基准时间产生电路 计数 译 码 显示 传感器 放大与整形 倍频器

数电课设报告电子脉搏计设计说明

数字电子技术课程设计报告题目:电子脉搏计设计 班级: 姓名: 指导老师: 组号: 2

目录 第一章设计设计任务及要求 (1) 第二章方案设计与论证 (1) 2.1方案一 (1) 2.2方案二 (2) 2.3总结方案 (2) 2.4设计原理及方框图 (3) 第三章各单元电路电路设计与分析 (3) 3.1四倍频电路 (3) 3.2脉搏计数电路 (4) 3.3计时控制电路 (5) 3.4时钟信号产生电路 (6) 3.5译码显示电路 (7) 第四章总体电路原理图及元件清单 (7) 第五章电路仿真及仿真结果分析 (9) 第六章作品照片图 (10) 第七章心得体会 (11)

第八章参考文献 (12) 第九章附页 (13)

电子脉搏计 一、设计设计任务及要求 用中小规模集成块模拟世贤电子脉搏计逻辑控制电路的具体要求如下: (1)实现在15s内测量1min的脉搏数。 (2)用数码管将测得的脉搏数用数字的形式显示。 (3)正常人的脉搏数为60~80次/min,婴儿的为90~100次/min,老人为100~150次/min,可通过与上述正常脉搏数比较,给出测脉搏人的脉搏数高出或低出正常范围的数值。 二、方案设计与论证 方案一 1.传感器将脉搏跳动信号转换为与此相对应的电脉冲信号。 2.放大整形电路把传感器的微弱电流放大,微弱电压放大。 3.四倍频器将整形后所得到的脉冲信号的频率提高。如将15s内传感器所获得的信号频率4倍频,即可得到对应一分钟的脉冲数,从而缩短测量时间。 4.控制电路用555定时器以保证在基准时间控制下,使4倍频后的脉冲信号送到计数、显示电路中。 5.计数、译码、显示电路用来读出脉搏数,并以十进制数的形式由数码管显示出。 6.电源电路按电路要求提供符合要求的直流电源。上述测量过程中,由于对脉冲进行了4倍频,计数时间也相应地缩短了4倍(15s),而数码管显示的数字却是lmin的脉搏跳动次数。用这种方案测量的误差为±4次/min,测量时间越短,误差也就越大。 方案二 与方案一相比,信号发生与采集、定时电路、计数译码显示电路不变。其他有所改变。 2)放大电路用普通运放进行发大,为达到高输入阻抗的要求,采用同相比例放大。 3)低通滤波在运放的反馈电阻上并联一个电容,达到滤波的效果。 4)整形电路通过运放组成的单限比较器进行脉冲整形。 方案二的放大电路除了在阻抗匹配方面略显弱势之外,使用更为普遍。为了探索非门再放大方面的应用,选择了方案一。

人体脉搏计

电子课程设计目录 第一部分电子课程设计题目及要求 1.题目 (1) 2.设计目的 (1) 3.设计内容及要求 (1) 4.脉搏计的基本原理 (1) 第二部分设计方案 1. 提出方案 (2) 2. 方案比较 (3) 第三部分电路设计与分析 (4) 1. 信号发生与采集 (4) 2. 放大电路 (4) 3.有源滤波电路 (5) 4.整形电路 (7) 5.倍频器 (9) 6.基准时间产生电路 (10) 6.1 NE555定时器 (10) 6.2 用555定时器构造施密特触发器 (11) 6.3 用施密特触发器构造多谐振荡器 (12) 7.计数译码器 (13) 7.1 计数电路 (13) 7.2 译码显示 (14) 8.控制电路 (17) 第四部分所用元件及实验心得 (18) 1.元件列表 (18) 2.实验心得 (18) 3.参考文献 (18) 附:总原理图 (19)

第一部分电子课程设计题目及要求 1. 题目人体脉搏计 2.设计目的 2.1熟悉脉搏计电路的组成、工作原理和设计方法。 2.2掌握多谐振荡器、倍频器、计数器、译码器等的工作原理、使用方法、特点、用途及主要参数的计算方法。 2.3熟悉集成电路74LS00、74LS161、CC4518、CC4511、晶闸管、有源滤波电路的特点、用途及主要参数的选择方法。 3.设计内容及要求 3.1设计题目:设计一个脉搏计。 3.2要求:实现在15s内测量1min的脉搏数,并且显示其数字。正常人的脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 3.3放大与整形电路 放大电路:电压放大倍数u A 约为11倍,选R 4 =100 KΩ,C 1 =100μF。试选择其它元 件参数。有源滤波电路:电压放大倍数选用1.6倍左右。运放可均采用LM324,也可选其它型号运放。 整形电路:选用滞回电压比较器,集成运放采用LM339,其电路参数如下:R 10 =5.1KΩ, R 11=100 KΩ,R 12 =5.1 KΩ。 倍频电路:异或门选用可采用CC系列、也可采用TTL系列。基准时间产生电路:试选择电路其它未知参数。 计数、译码、显示电路:试选择电路其它未知参数。 控制电路:试选择电路其它未知参数。 4.脉搏计的基本原理 分析设计题目要求脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分。由给出的设计技术指标可知,脉搏计是用来测量频率较低的小信号(传感器输出电压一般为几个毫安),它的基本功能应该是 ①用传感器将脉搏的跳动转换为电压信号,并加以放大整形和滤波。 ②在短时间内(15s内)测出每分钟的脉搏数。 简单脉搏计的框图如图1所示。 图1.1 脉搏计原理框图

脉搏计课程设计报告

报告成绩 电子电路综合实验报告 学生:贺杰 学号:1410404006 专业年级:2014级通信工程4班 指导教师:周妮讲师 起止日期:2016年3月—2016年6月 电气与信息工程学院 2016年6月3日

目录 1目的与意义 (1) 3 方案设计 (1) 4 系统硬件设计 (3) 5仿真调试与分析 (10) 6结论与体会 (10) 参考文献 (10) 附录 (11) 附录A 系统实物图 (11)

摘要:电于脉搏计可以连续台动地测量手术或重危病人的脉搏,也可以用于健康管理,运动员的训练等方面,为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分,它是用来测量频率较低的小信号。 1目的与意义 一、目的: 1、掌握组合逻辑电路的工作原理及设计方法。 2、学会安装和调试分立元件与集成电路组成的电子电路小系统。 二、意义 对于医院的危重病人,或者在其他一些特殊场合,需对人的脉搏进行连续检测,本课题即针对这一需求,设计一台简易的电子脉搏计。 1、制作要求 实现在15S测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min 婴儿为90~100次/min,老人为100~150次/min。(只考虑数字部分,即输入波形视为矩形波) 2、制作步骤 (1)拟定测试方案和设计步骤,填写真值表; (2)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (3)进行相应的仿真测试; (4)设计、调试和安装电路并测试; (5)撰写设计报告。 2 方案设计 电子脉搏计是由脉搏计数器和控制时间的定时电路所组成,并且还要在15S测量出1min的脉搏数。所以,我们先按要求,分开设计各个功能的电路图,然后再组合连接成一个完整的按要求的电子脉搏计。 方案一:

(最新版)基于单片机的脉搏测量仪的设计开题报告毕业论文

本科毕业设计 ( 论文) 开题报告 题目: 基于单片机的脉搏测量仪 的设计 课 题 类 型:设计丁实验研究□论文口 学 生 姓 名: 学 号: 专 业 班 级: 学 院: 信息工程学院 指 导 教 师: 开 题 时 间 年月日 开题报告内容与要求 一、毕业设计(论文)内容及研究意义(价值) 随着科技发展的不断提高, 生命科学和信息科学的结合越来越紧密, 出现了各种新 颖 的脉搏测量仪器,特别是电子脉搏仪的出现,使脉搏测量变得非常方便。 脉诊在我 国已具有

2600 多年临床实践,是我国传统中医的精髓,但祖国传统医学采用“望、闻、问、切”的手段进行病情诊断,受人为的影响因素较大,测量精度不高。科技的创新,脉搏测试不再局限于传统的人工测试法或听诊器测试法,脉搏测量可利用电子仪器测量出精度更就的数据。人体脉搏信号中包含丰富的生理信息,也逐渐引起了临床医生的很大兴趣,达到了方便、快捷、准确的测量脉搏的目的。随着电子测量技术的迅速发展,现代电子测量仪器以极快的速度向数字化、自动化的方向发展。制成的脉搏测量仪器性能良好,结构简单,有较好的应用和推广价值。 脉搏测量仪的设计,必须是通过采集人体脉搏变化引起的一些生物信号,然后把生物信号转化为物理信号,使得这些变化的物理信号能够表达人体的脉搏变化,最后要得出每分钟的脉搏次数,就需要通过相应的硬件电路及芯片来处理物理变化并存储脉搏次数。在硬件设计中一般的物理信号就是电压变化。本系统的组成包括传感器、信号处理、单片机电路、显示电路、键盘输入等部分。 二、毕业设计(论文)研究现状和发展趋势(文献综述) 随着科学技术的发展,脉搏测量技术也越来越先进,对脉搏的测量精度也越来越高,国内外先后研制了不同类型的脉搏测量仪,脉搏测试不再局限于传统的人工测试法或听诊器测试法,脉搏测量可利用电子仪器测量出精度更就的数据。人体脉搏信号中包含丰富的生理信息,也逐渐引起了临床医生的很大兴趣,达到了方便、快捷、准确在测量脉搏的目的。随着电子测量技术的迅速发展,现代电子测量仪器以极快的速度向数字化、自动化的方向发展。制成的脉搏测量仪器性能良好,结构简单,有较好的应用和推广价值。而其中关键是对脉搏传感器的研究。起初用于体育测量的脉搏测试集中在对接触式传感器的研究,利用此类传感器所研制的指脉、耳脉等测量仪各有其优缺点。指脉测量比较方便、简单,但因为手指上的汗腺较多,指夹常年使用,污染可能会使测量灵敏度下降:耳脉测量比较干净,传感器使用环境污染少,容易维护。但因耳脉较弱,尤其是当季节变化时,所测信号受环境温度影响明显,造成测量结果不准确。过去在医院临床监护和日常中老年保健中出现的日常监护仪器,如便携式电子血压计,可以完成脉搏的测量,但是这种便携式电子血压计利用微型气泵加压橡胶气囊,每次测量都需要一个加压和减压的过程,存在体积庞大、加减压过程会有不适、脉搏检测的精确度低等缺点。 脉搏测量仪的发展主要向以下几个趋势发展: (1)自动测量脉搏并且对所得到的脉搏进行自动分析。目前很多脉搏测量仪都具有检测

数电脉搏计数器电路课程设计

烟台南山学院 数字电子技术课程设计题目脉搏计数电路设计 姓名:___ XXXXXX ___ 所在学院:_工学院电气与电子工程系 所学专业:_ 自动化 班级:___电气工程XXXX 学号:___XXXXXXXXXXXXXX 指导教师:_____ XXXXXXXX ___ 完成时间:____ XXXXXXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级:12电气工程 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人

电子脉搏计课程设计

电子脉搏计 课 程 设 计 报 告 组长:蔡新源 组员:史志华、张重彬、李海磊、杨威力、刘世洋、孙景伟、冀鹏辉、杨冠军、李峰 朝

目录 摘要 (3) 第一章绪论 (4) 第二章各种元器件及其应用 (5) 1、集成同步计数器及其应用张重彬 (5) 2、BCD-七段共阴数码管史志华 (7) 3、74LS161 计数器的应用杨威力 (10) 4、五进制的自循环冀鹏辉 (12) 5、集成同步计数器及其应用刘世洋 (13) 6、用 74LS161构成一个十进制计数器李海磊 (14) 7、四进制的自循环杨冠军 (15) 8、用 74LS290设置七进制计数器李峰朝 (17) 9、七进制的自循环孙景伟 .. 19 第三章数字脉搏计时器的方案比较 (21) 3.1方案论证 (21) 3.2提出方案 (21) 3.3方案比较 (23) 第四章单元电路的设计 (23) 4.1电路总体框图 (23) 4.2采集、放大与整形电路 (23) 4.2.1传感器 (24) 4.2.2放大电路 (24) 4.2.3整形电路 (25) 4.3倍频电路 (26) 4.4基准时间产生电路 (28) 4.5 计数、译码、显示电路 (28) 4.6 控制电路 (31)

总结 (32) 摘要 人体脉象中富含有关心脏、内外循环和神经等系统的动态信息。而脉搏的病理生理性改变常引发各种心血管事件,脉搏生理性能的改变可以先于疾病临床症状出现,通过对脉搏的检测可以对如高血压和糖尿病等引起的血管病变进行评估。同时脉搏测量还为血压测量,血流测量及其他某些生理检测技术提供了一种生理参考信号。 本文主要介绍了数字式脉搏计的具体实现方法,利用压电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。 关键词脉搏计;脉冲信号;压电传感器

测试技术课程设计脉搏测量仪

《机械工程测试技术》 课程设计 脉搏测量仪的设计 姓名:张峰 学院:机电工程学院 专业:机械设计制造及其自动化班级:2010级本科4班 学号:201015130457 完成日期:2012年12月28日

摘要 医院的护士每天都要给住院的病人把脉记录病人每分钟脉搏数,方法是用手按在病人腕部的动脉上,根据脉搏的跳动进行计数。为了节省时间,一般不会作1分钟的测量,通常是测量10秒钟时间内心跳的数,再把结果乘以6即得到每分钟的心跳数,即使这样做还是比较费时,而且精度也不高。本文介绍一种用单片机制作的脉搏测量仪,只要人把手指放在传感器内2秒钟就可以精确测量出每分钟脉搏数,测量结果用三位数字显示。 关键词:AT89C2051;单片机;脉搏测量仪

目录 第一章引言 (1) 第二章基本结构模块 (2) 2.1脉搏波检测电路 (2) 2.2脉搏信号拾取电路 (2) 2.3信号放大 (3) 2.4波形整形部分 (5) 第三章整体电路分析 (7) 3.1光发射电路 (7) 3.2光电转换电路 (7) 3.3信号采集及处理系统 (8) 3.4过采样技术的应用 (8) 3.5整体硬件电路设计 (9) 参考文献 (10)

第一章引言 脉搏测量属于检测有无脉博的测量,有脉搏时遮挡光线,无脉搏时透光强,所采用的传感器是红外接收二极管和红外发射二极管。用于体育测量用的脉搏测量大致有指脉和耳脉二种方式。这二种测量方式各有优缺点,指脉测量比较方便、简单,但因为手指上的汗腺较多,指夹常年使用,污染可能会使测量灵敏度下降;耳脉测量比较干净,传感器使用环境污染少,容易维护。但因耳脉较弱,尤其是当季节变化时,所测信号受环境温度影响明显,造成测量结果不准确。 从脉搏波中提取人体的生理病理信息作为临床诊断和治疗的依据,历来都受到中外医学界的重视。几乎世界上所有的民族都用过“摸脉”作为诊断疾病的手段。脉搏波所呈现出的形态(波形)、强度(波幅)、速率(波速)和节律(周期)等方面的综合信息,在很大程度上反映出人体心血管系统中许多生理病理的血流特征,因此对脉搏波采集和。 处理具有很高的医学价值和应用前景。但人体的生物信号多属于强噪声背景下的低频的弱信号, 脉搏波信号更是低频微弱的非电生理信号, 必需经过放大和后级滤波以满足采集的要求。

简易电子脉搏计设计

简易电子脉搏计的设计 标签: 脉搏计电子设计2009-11-09 11:35 电子脉搏计设计 一、设计任务与要求 为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。 电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的 主要组成部分。它是用来测量频率较低的小信号。 要求: (1)实现在1min内测量脉搏数; (2)用数码管将测得的脉搏数用数字的形式显示; (3)测量误差小于±4次/min。 二、方案设计与论证 1.设计框图 方案一 1)信号发生与采集将脉搏跳动信号传感器转换为与此相对应的电脉冲信号。

2)放大电路把传感器的微弱电流放大,微弱电压放大。可采用高输入阻抗的非门进行放大。 3)低通滤波滤除空气中的高频,只让低频脉冲信号通过。对脉搏信号进行采集的时候,空气中交流工频干扰最大,根据有源滤波的原理,在接至非门的输入与输出之间作为直流偏置电阻上并联一个电容。 4)整形电路可用两个非门组成的施密特触发器对放大后的信号进行整形。 5)定时电路用555定时器组成的单稳态触发器进行1分钟的精确定时。 6)计数、译码、显示用来读出脉搏数,并以十进制数的形式由数码管显示出来。片CD40110有计数译码功能,数码管采用共阴数码管。 方案二 与方案一相比,信号发生与采集、定时电路、计数译码显示电路不变。其他有所改变。 2)放大电路用普通运放进行发大,为达到高输入阻抗的要求,采用同相比例放大。 3)低通滤波在运放的反馈电阻上并联一个电容,达到滤波的效果。 4)整形电路通过运放组成的单限比较器进行脉冲整形。 方案二的放大电路除了在阻抗匹配方面略显弱势之外,使用更为普遍,。为了探索非门再放大方面的应用,选择了方案一。 三、单元电路设计与参数计算 1.信号发生与采集 脉搏传感器的作用是将脉搏信号转换为响应的电冲信号。脉搏传感器是脉象检测系统中重要的组成部分,其性能的好坏直接影响到后置电路的处理和结果的显示。目前典型的脉搏传感器有以下三种:光电类、压阻类和压电类。在这三种

课程设计————电子心率计的设计

课程设计说明书正文 1:任务分析与方案设计 心率计是用来测量一个人心脏单位时间内跳动次数的电子仪器,由于人体各部位心率一致,所以通常测量人手臂处的脉搏即可测出人体心率。任务要求测出的心率为一分钟内心跳的次数,并显示,测量结果要与标准范围作比较,不在标准范围内则报警。 设计方案为:采用传感器,量脉搏的跳动,出微弱的信号,入放大器中放大;后通过滤波器滤除干扰信号后,将形整形为方波或脉冲信号;将其作为计数控制信号,用基准时间一定的方波作为计数脉冲在一个心跳周期内计数,计数值N 与基准时间T 的乘积就是一次心跳的时间。再对“60/基准时间T ”个脉冲进行N 分频,对分频后的信号计数,其计数值则为本次心率数值。之后计数器计数值输入到显示器中显示,同时,将其输入的频率进行F/V 转换后与标准电压值作比较,若,测量值不在标准值范围内则报警,即LED 灯亮。流程图如下。 2:电路设计,元器件参数计算及选择 2.1:传感器的选择 :

红外线检测原理: 随着心脏的博动,人体组织半透度随之改变,当血液流回心脏,组织半透度增大,这种现象在人体组织较薄的指尖、耳垂等部位最明显。用红外发光二极管产生红外线照射到人体上述部位,并用装在一旁的红外光电管来检测机体组织的透明度并转换成电信号,其信号频率与脉搏频率相对应并且其为低频近似的正弦信号。 TCRT5000(L)具有紧凑的结构发光灯和检测器安排在同一方向上,利用红外光谱反射对象

电压跟随器的显著特点就是,输入阻抗高,而输出阻抗低,一般来说,输入阻抗要达到几兆欧姆是很容易做到的。输出阻抗低,通常可以到几欧姆,甚至更低。 在电路中,电压跟随器一般做缓冲级及隔离级。因为,电压放大器的输入阻抗一般比较高,通常在几千欧到几十千欧,如果后级的输出阻抗比较小,那么信号就会有相当的部分损耗在前级的输出电阻中。在这个时候,就需要电压跟随器来从中进行缓冲。起到承上启下的作用。应用电压跟随器的另外一个好处就是,提高了输入阻抗,这样,输入电容的容量可以大幅度减小,为应用高品质的电容提供了前提保证]1[。 仿真图: 黄色信号(下)为输入信号。 蓝色信号(上)为输出信号。 由图中可以看出,输入输出信号基本相等。 2.3:放大电路的设计 传感器输出为微弱信号,需进行放大后才便于后续电路的处理。考虑到后续电路中滤波器电路也具有信号放大的功能,所以放大器的放大倍数不宜过大,初 步选择为660倍。设计电路的原理如下:

电子脉搏计毕业设计

皖江学院 课程设计说明书课程名称:数字电子技术课程设计 题目:电子脉搏计毕业设计 学生XX:王军 专业:电子信息工程 班级:电子09-2 学号:0971005

指导教师:曹喜珠 日期:2010年6 月9日 皖江学院 课程设计任务书 一.设计题目:电子脉搏计设计 二.主要内容及安排 脉搏测试仪是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分。它是用来测量频率较低的小信号。 (1)实现在15S内测量1min的脉搏数; (2)用数码管将测得的脉搏数用数字的形式显示; (3)测量误差小于±4次/min。 (4)设计电路,在时间允许的情况下要安装测试,分析实验结果,写出设计说明书。 三、安排进度

6月7号:图书馆收集资料 6月7号:互联网收集资料 6月8号:资料的整理 6月8号:模拟电子电路初步完成 6月9号:设计报告初步完成 四、总评成绩 指导教师 学生签名 电子脉搏计设计 一、设计任务与要求 为更好的运用所学的知识,加深对电子电路的掌握,达到创新的目的。通过实践制作一个数字频率计,学会合理的利用集成电子器件制作电路基于数字电路和模拟电

路的课程设计与制作。 简述了在EDA平台上利用硬件描述语言VHDL结合CPLD/FPGA器件,设计了一种数显式脉搏测试仪。通过测试和实际应用表明:其性能稳定、工作可靠、升级方便。实现了对人体脉搏的电子测量,并且能通过外界扩音器实现听诊的功能。文章给出了系统的功能特点,设计原理,硬件电路及软件设计等。该系统利用脉冲干扰动平均值法滤波,在提高精度的同时也大大提高了系统的响应速度,该仪器成本低,可靠性高,操作方便。 电子脉搏计设计:由压电陶瓷片、三个2输入与或门CD4070组成四倍频器、555集成定时器、十进制集成块74160N三片、七段数码管(DCH-HEX)组成。,74160N与它配套使用可直接驱动显示。 脉搏测试仪是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要 组成部分。它是用来测量频率较低的小信号。 要求: (1)实现在15S内测量1min的脉搏数; (2)用数码管将测得的脉搏数用数字的形式显示; (3)测量误差小于±4次/min。 二、方案设计与论证 方案一 1传感器将脉搏跳动信号转换为与此相对应的电脉冲信号。 2 放大整形电路把传感器的微弱电流放大,微弱电压放大。 3倍频器将整形后所得到的脉冲信号的频率提高。如将15s内传感器所获得的信号频率4倍频,即可得到对应一分钟的脉冲数,从而缩短测量时间。 4控制电路用555定时器以保证在基准时间控制下,使4倍频后的脉冲信号送到计数、显示电路中。 5计数、译码、显示电路用来读出脉搏数,并以十进制数的形式由数码管显示出来。 6电源电路按电路要求提供符合要求的直流电源。 上述测量过程中,由于对脉冲进行了4倍频,计数时间也相应地缩短了4倍(15s),而数码管显示的数字却是lmin的脉搏跳动次数。用这种方案测量的误差为±4次/min,

电子脉搏计的设计

摘要 人体的脉象中包含有关心脏的动态信息,人体内部和外部的脉搏等循环和神经系统。脉搏的生理变化往往引起多种心血管事件,脉冲可能会改变患者的生理特征的临床症状,在同一时间脉搏,血压测量,血压测量技术的测量提供了对其它生理生理参考信号检测。 本文简要介绍了完整的,压电式传感器的数字脉冲产生脉冲,整形后放大,输入单片机控制,以便测量的次数每分钟脉搏,简单快捷。通过观察脉冲信号,可以检查身体,通常用于保健中心和医院的健康。 关键词:脉搏计;脉冲信号;压电传感器 Abstract Contains dynamic information about heart pulse in human body, internal and external pulse and other circulatory and nervous system. Physiological changes of pulse often cause a variety of cardiovascular events, pulse may change in the clinical symptoms of patients with physiological characteristics, in the measurement of the same time pulse, blood pressure measurement, blood pressure measurement techniqueprovides a physiological reference signal for otherphysiological detection. This paper simply introduces digital pulse of the complete,piezoelectric sensors have a pulse, after plastic surgery to enlarge, the input in the single chip computer and control, so as to measure the number of times a minute pulse, simple and quick. Through observing the pulse signal, can check the health of the body, usually used for health centres and hospitals. Keywords: Pulse meter; Pulse signal; Piezoelectric sensors

(完整版)电子信息工程专业毕业设计题目大全

电子信息工程毕业设计题目总计: 1、本振源的分析与设计 2、恒流电源的设计 3、光释光仪器的研究 4、远程集中式抄表系统设计 5、红外测温的研究 6、GPS汽车定位导航系统的研究设计 7、速度里程表的设计 8、超升波倒车雷达设计 9、基于USB接口的数据采集系统设计 10、自动门控制系统设计 11、楼宇自动化系统的设计 12、医院住院呼叫系统的设计 13、煤矿监控系统的设计 14、粮库测问系统的设计 15、基于RS485的煤矿数据采集系统设计 16、基于单片机的高精度位移测量的设计与研究 17、电路版回流焊机温度控制的研究 18、PC机与单片机串行通信实现点阵字符显示的设计 19、校园一卡通系统设计 20、单片机控制的防盗系统设计 21、数据采集系统设计

22、高精度电荷放大器设计 23、多路温度控制器设计 24、基于nRF9E5的无线温度采集模块的设计 25、多功能数字频率计的设计 26、数字合成信号发生器的设计 27、点阵式汉字电子显示屏的设计 28、非接触式IC卡读写器的设计 29、遥控电子密码锁的设计 30、单片机与PC机间的串行通信接口电路设计 31、电脑自动控制外部设备电源的研究 32、声控机器人的研究(用凌阳单片机) 33、超声波测距在汽车安全中的应用研究 34、远程超表系统的研究 35、利用电话控制家用电器的研究 36、光纤在微位移测量中的应用研究 37、利用示波器做晶体管特性图示仪的研究 38、篮球记分系统的研究 39、指纹识别应用于学生考勤的研究 40、高精度A/D转换的研究 41、5w激光治疗仪ARM机设计 42、感应式报警器的设计 43、电子密码锁的设计

心率计设计

附重庆大学本科学生课程设计任务书

电子心率计设计说明书 一前言 随着社会的发展与进步,我们的生活节奏也越来越快,面对每天繁忙的工作生活,我们不一定能像以前那样定期抽出时间去为自己身体做一次体检。而事实上我们身体承受的负荷却越来越大,相比于以前我们需要给自己的身体以更多的关注,甚至是时刻了解它的健康状况。身体的健康与否在很多方面都会有所体现。比如一个人的心率值就基本能反映一个人心脏是否正常工作的。大家都知道心脏是我们人体中最重要的器官之一,使我们生命的源动力。所以我们能时刻了解它的状态是很重要的。由于我们平时不一定总是能抽出时间去做体检,所以我们需要一个简单的,便于操作的,可靠性高的仪器来帮助我们在短时间内测到我们的心率值。让我们能及时了解到我们现在心脏以及身体的状态。 附心率的生理意义 人的心脏比握紧的拳头稍大,平均重量为300g。它是人体内“泵器官”,负责人体血液循环。心脏每天跳动超过10万次,累计使8千多公升的血液,流经约1万9千公里长的动静脉,从而维持血液循环。心脏有四个腔,分别是左心房、右心房、左心室和右心室。右心房接受全身各器官回流的含氧低静脉血并输入右心室,右心室把血液泵入肺脏进行氧气与二氧化碳的气体交换。左心房将自肺脏返回的含氧高的动脉血输入左心室,左心室再将血液输送至全身器官。从我们出生的那一刻起,心脏便24小时不停地工作,为全身输送氧气和养分。心脏能够这样周而复始地有规律地工作,是因为心脏有一个天然的起搏器——窦房结,它能自发地、有节律地发放电脉冲,并沿着结间束、房室结、希氏束和左右束支这一固定的激动传导途径由上向下传遍整个心脏,使心脏各个腔室顺序收缩,完成运送血液的工作。心脏的正常工作要求心脏节律发放和传导系统的结构和功能正常。心率(heart rate)指心脏分钟搏动的次数,它能够反映心脏的工作状态。正常心率决定于窦房结的节律性,成人静息时约60~100次/min,平均约75次/min。心率可因年龄、性别及其他因素而变化。初生儿心率约130次/min,随年龄增长而逐渐减慢,至青春期乃接近成人的心率。女性心率比男性稍快;运动员心率较慢。成人安静心率超过120次/min者,为心动过速;低于40次/min者为心动过缓。心率受植物性神经和体液因素调节。安静或睡眠时,心迷走中枢紧张性增高,心交感中枢紧张性降低,心率减慢。运动、情绪激动、精神紧张时,心迷走中枢紧张

相关主题
文本预览
相关文档 最新文档