当前位置:文档之家› 锁存器使用总结

锁存器使用总结

锁存器使用总结

本文要点

1:锁存器的主要作用

2:74HC573引脚图

3:74HC573电路连接及使用说明

锁存器辨析

所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。典型的锁存器逻辑电路是 D 触发器电路。 PS:锁存信号(即对LE赋高电平时Data端的输入信号)。锁存,就是把信号暂存以维持某种电平状态。

锁存器的最主要作用

1:缓存、

2:完成高速的控制其与慢速的外设的不同步问题、

3:是解决驱动的问题(提供的电流比51IO口输出电流大)

4:拓展I/O口(可以很猥琐的用锁存器幂叠加方法,即锁存器的Q再接锁存器~ 实现IO口的无限拓展···)

锁存器应用实例:

I/O口复用:当单片机连接片外存储器时,要接上锁存器,这是为了实现地址的复用。假设,MCU 端口其中的8 路的I/O 管脚既要用于地址信号又要用于数据信号,这时就可以用锁存器先将地址锁存起来。(具体操作:先送地址信息,由ALE使能锁存器将地址信息锁存在外设的地址端,然后送数据信息和读写使能信号,在指定的地址进行读写操作)

如果单片机的总线接口只作一种用途,不需要接锁存器;如果单片机的总线接口要作两种用途,就要用到锁存器。例如:一个I/O口要控制两个LED,对第一个LED 送数据时,“打开”第一个锁存器而“锁住”第二个锁存器,使第二个LED 上的数据不变。对第二个LED 送数据时,“打开”第二个锁存器而“锁住”第一个锁存器,使第一个LED 上的数据不变。如果单片机的一个口要做三种用途,则可用三个锁存器,操作过程相似。就这一种用法而言,可以把锁存器视为单片机的I/O 口的扩展器。

74HC573引脚分布图

由上边这个真值表可以看出:OE为高时,输出始终为高阻态,此时芯片处于不可控制状态,所以在一般应用中,我们必须将OE接低电平。

LE则是输出端状态改变使能端,当LE为低电平,输出端Q始终保持上一次存储的信号(Q0)(从D端输入),当LE为高电平时,Q紧随D的状态变化,并将D的状态锁存。

也就是说当锁存使能端LE为高时,这些器件的锁存对于数据是透明的(也就是说输出同步)。当锁存使能变低时,符合建立时间和保持时间的数据会被锁存。

另外:对锁存器的输入是和标准CMOS 输出兼容的;若再加上上拉电阻,他们能和

LS/ALSTTL 输出兼容。

锁存器的电路连接及使用详解:

(结合上面的锁存器引脚说明)

0:vcc gnd 供电不用多说吧?

1:OE接地

2:D0-D7接我们的信号发射端(一般为单片机用来传输数据的I/O口)

3:Q0-Q7接我们要接受信息的终端(数码管,液晶,or anyother device)

4:LE接一个I/O口(此I/O脚可视为锁存器锁存功能的开关,高电平为更新Q端信号(要更新的信号从D输入)低电平则不更新)

最新74HC573单片机应用

74HC573 8位数据锁存器资料 2、如右图所示,芯片各引脚功能如下: OE:output_enable,输出使能; LE:latch_enable,数据锁存使能; Dn:第n路数据输入端; Qn:第n路数据输出端; 当OE=1是,无论Dn、LE 为何,输出端为高阻态; 当OE=0、LE=1时,输出 端数据等于输入端数据,芯片可以当作不存在,相当于导线; 当OE=0、LE=0时,输出端保持不变, 处于数据锁存状态; 在实际应用的时候是这样做的: a.令OE=0;LE=1 b.将数据从单片机的口线上输 出到Dn; c.令OE=0;LE=0; d.这时,你所需要输出的数据就锁存在Qn上了,输入的数据再变化也影响不到输出的数据了; 74HC573简单应用(一) 如下图所示,在P3口同时接了两个74HC573锁存器,两个芯片的输出使能端OE都接地,数据锁存使能端LE分别接P2^6和P2^7,锁存器的输出数据端Qn都接LED条形显示器,本例通过对P3口赋不同的值来使U4的上四个LED灯点亮,U5的下四个LED灯点亮。 C程序如下: #include

sbit LE1=P2^6; sbit LE2=P2^7; void main() { LE1=1; P3=0X0F; LE1=0; //开启锁存功 能,使U2输出端锁存数据 0X0F LE2=1; P3=0XF0; LE2=0; //开启锁存功能,使U3输出端锁存数据0XF0 while(1); } 74HC573简单应用(二) 两片74HC573的数据输入端同时接到P0口,输出使能端OE都接地,数据锁存端LE分别接到P2^6和P2^7,U2的数据输出端接六个数码管的段码,U3的数据输出端接六个数码管的位码。本例使六个数码管同时循环点亮0到9十个数字。 C程序如下: #include #define uchar unsigned c har #define uint unsigned int

深刻解析锁存器 触发器区别 以及verilog代码编写中如何避免产生锁存器

彻底理解锁存器,让你不再为锁存器头疼! 锁存器(latch):是电平触发的存储单元,数据存储的动作(状态转换)取决于输入时钟(或者使能)信号的电平值,尽当锁存器处于使能状态时,输出才会随着数据输入发生变化。 分为普通锁存器和门控锁存器,普通锁存器无控制信号,输出状态始终直接由输入决定。在实际的数字系统中,为了协调各部分的工作,往往需要有一个特定的控制信号去控制锁存器状态转换的时间,在控制信号无效时,输出保持不变,不随输入变换;当控制信号有效时,输出由输入决定,跟随输入变化。 基本锁存器 门控锁存器

①正是因为门控锁存器在控制信号有效的期间内,都可以接收输入信号,所以,激励信号的任何变化,都将直接引起锁存器输出状态的改变。这时输入信号若发生多次变化,输出状态也可能发生多次变化,这一现象称为锁存器的空翻。 ②其次,当门控锁存器的控制信号有效时,锁存器就变成了一个组合电路,时序逻辑电路的模型就等效为两个各组合电路互为反馈的反馈系统,因此,系统有可能会因为瞬态特性不稳定而产生振荡现象。 触发器(flip-flop)是边沿敏感的存储单元,数据存储的动作(状态转换)由某一信号的上升或者下降沿进行同步的(限制存储单元状态转换在一个很短的时间内)。(钟控D触发器其实就是D锁存器,边沿D触发器才是真正的D触发器) 触发器分为两种,一种是主从触发器和边沿触发器。主从触发器在时钟有效期内(主触发器)接收数据,在时钟边沿输出状态转换。边沿触发器在时钟边沿期间,触发器才接收数据并使输出状态转换。 主从触发器 目前,主从触发器基本上已经很少见了,实际使用的大都是边沿触发器。 寄存器(register):用来暂时存放参与运算的数据和运算结果。在实际的数字系统中,通常把能够用来存储一组二进制代码的同步时序逻辑电路称为寄存器。 区别与联系:由于触发器内有记忆功能,因此利用触发器可以方便地构成寄存器。由于一个触发器能够存储一位二进制码,所以把n个触发器的时钟端口连接起来就能构成一个存储n位二进制码的寄存器。 从寄存数据的角度来讲,寄存器和锁存器的功能是相同的;它们的区别在于寄存器是同步时钟控制,而锁存器是电位信号控制。

74HC573在单片机中的简单应用(基于Proteus仿真)

74HC573在单片机中的简单应用 基于Proteus仿真 1、74HC573是8位数据锁存器. 数据锁存的意思是指:当输入的数据消 失时,在芯片的输出端,数据仍然保持; 2、如右图所示,芯片各引脚功能如下: 右图隐藏了VCC(接+5V电源)和GND (接地)两个引脚。 OE:output_enable,输出使能; LE:latch_enable,数据锁存使能,latch是 锁存的意思; Dn:第n路数据输入端;(D的意思是 Data input) Qn:第n路数据输出端; 当OE=1是,无论Dn、LE为何,输出端 为高阻态; 当OE=0、LE=1时,输出端数据等于输 入端数据,芯片可以当作不存在,相当 于导线; 当OE=0、LE=0时,输出端保持不变, 处于数据锁存状态; 在实际应用的时候是这样做的: a.令OE=0;LE=1 b.将数据从单片机的口线上输出到Dn; c.令OE=0;LE=0; d.这时,你所需要输出的数据就锁存在Qn上了,输入的数据再变化也影响不到输出的数据了; 3、74HC573简单应用(一) 如下图所示,在P3口同时接了两个74HC573锁存器,两个芯片的输出使能端OE都接地,数据锁存使能端LE分别接P2^6和P2^7,锁存器的输出数据端Qn 都接LED条形显示器,本例通过对P3口赋不同的值来使U4的上四个LED灯点

亮,U5的下四个LED灯点亮。 C程序如下: #include sbit LE1=P2^6; sbit LE2=P2^7; void main() { LE1=1; P3=0X0F; LE1=0;//开启锁存功能,使U2输出端锁存数据0X0F LE2=1; P3=0XF0; LE2=0;//开启锁存功能,使U3输出端锁存数据0XF0 while(1); } 仿真运行结果如下

锁存器SN74HC使用总结

锁存器使用总结 本文要点 1:锁存器的主要作用 2:74HC573引脚图 3:74HC573电路连接及使用说明 锁存器辨析 所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。典型的锁存器逻辑电路是 D 触发器电路。 PS:锁存信号(即对LE赋高电平时Data端的输入信号)。锁存,就是把信号暂存以维持某种电平状态。 锁存器的最主要作用 1:缓存、 2:完成高速的控制其与慢速的外设的不同步问题、 3:是解决驱动的问题(提供的电流比51IO口输出电流大) 4:拓展I/O口(可以很猥琐的用锁存器幂叠加方法,即锁存器的Q再接锁存器~ 实现IO口的无限拓展···) 锁存器应用实例: I/O口复用:当单片机连接片外存储器时,要接上锁存器,这是为了实现地址的复用。假设,MCU 端口其中的8 路的I/O 管脚既要用于地址信号又要用于数据信号,这时就可以用锁存器先将地址锁存起来。(具体操作:先送地址信息,由ALE使能锁存器将地址信息锁存在外设的地址端,然后送数据信息和读写使能信号,在指定的地址进行读写操作) 如果单片机的总线接口只作一种用途,不需要接锁存器;如果单片机的总线接口要作两种用途,就要用到锁存器。例如:一个I/O口要控制两个LED,对第一个LED 送数据时,“打开”第一个锁存器而“锁住”第二个锁存器,使第二个LED 上的数据不变。对第二个LED 送数据时,“打开”第二个锁存器而“锁住”第一个锁存器,使第一个LED 上的数据不变。如果单片机的一个口要做三种用途,则可用三个锁存器,操作过程相似。就这一种用法而言,可以把锁存器视为单片机的I/O 口的扩展器。 74HC573引脚分布图

D锁存器与D触发器亚稳态的分析,结合定时参数-写的极好

这篇文章感觉写的相当好 1、简介 这篇文章是我对电子设计中,亚稳态问题的一种分析和总结。文章通过对数字电路中器件的工作机制的介绍,引出亚稳态问题的发生机制。并通过对亚稳态问题发生机制的探讨,用以得到一种能够清楚地,有的放矢地解决亚稳态问题的能力。而这种能力,将会成为你作为一个工程师的价值所在。希望通过这个探讨,可以使你设计出属于自己的预防亚稳态的方法。对于常用的预防亚稳态的方法,由于网上已经有大量介绍,并且涉及到具体问题的分析,这里将不做重点介绍。 2、CMOS中的信息保存 Fig. 1. MOS管的电容模型 当一个MOS工艺晶体管被制造之后,在不同极之间,因为介电物质的存在,会形成电容。当晶体管工作时,这些电容会被充/放电。当充放电回路上的R,C有不同取值的时候,回路的时间常数(由RC表示)会不同。 在数字电路中,最简单的结构是反向器(inverter)。在CMOS工艺中,它由一个NMOS加一个PMOS组成。 Fig. 2. 反向器 对于这个反向器,可以简化成由工作电阻Ron,结点电容CL组成的充放电电路。

Fig. 3. 反向器充放电模型 当Inverter输入为0时,PMOS导通,对CL充电,时间由Rp*CL决定 当Inverter输入为1时,NMOS导通,对CL放电,时间由Rn*CL决定 其中CL由P/NMOS的漏极结点上所有相关的电容组成,这是一种近似的计算方法(将分布的电容进行集总)。 CL=Cdb+Cgd(Miller效应)+Cwire+Cg_fanout 从这里我们得到 1,任何信号都需要时间才能从输入端口传递到输出端口。 2,对CL的充放电影响系统的动态(翻转)功耗,如果想降低功耗,可以对不使用的信号添加使能。 3,由于Rp != Rn,所以Rp*CL != Rn*CL,所以rising time != falling time 对于分析亚稳态的问题,只需要记住第一条,任何信号从输入端口传递到输出端口都需要一定的时间。对于任何其他门电路,分析过程都一样。 3、SETUP/HOLD 时间的意义 S/H时间是时序器件的属性。下面我们来说一下,这两个时间是如何产生的。任何器件“原则”上都是电平有效的,边沿触发的器件是一种“把戏”。 首先我们看一下D锁存器。 Fig. 4. D锁存器 真值表: E D Q 0 x PreQ 1 0 0 1 1 1

锁存器与触发器亚稳态的分析,

1、简介 这篇文章是我对电子设计中,亚稳态问题的一种分析和总结。文章通过对数字电路中器件的工作机制的介绍,引出亚稳态问题的发生机制。并通过对亚稳态问题发生机制的探讨,用以得到一种能够清楚地,有的放矢地解决亚稳态问题的能力。而这种能力,将会成为你作为一个工程师的价值所在。希望通过这个探讨,可以使你设计出属于自己的预防亚稳态的方法。对于常用的预防亚稳态的方法,由于网上已经有大量介绍,并且涉及到具体问题的分析,这里将不做重点介绍。 2、CMOS中的信息保存 Fig. 1. MOS管的电容模型 当一个MOS工艺晶体管被制造之后,在不同极之间,因为介电物质的存在,会形成电容。当晶体管工作时,这些电容会被充/放电。当充放电回路上的R,C有不同取值的时候,回路的时间常数(由RC表示)会不同。 在数字电路中,最简单的结构是反向器(inverter)。在CMOS工艺中,它由一个NMOS加一个PMOS组成。 Fig. 2. 反向器 对于这个反向器,可以简化成由工作电阻Ron,结点电容CL组成的充放电电路。

Fig. 3. 反向器充放电模型 当Inverter输入为0时,PMOS导通,对CL充电,时间由Rp*CL决定 当Inverter输入为1时,NMOS导通,对CL放电,时间由Rn*CL决定 其中CL由P/NMOS的漏极结点上所有相关的电容组成,这是一种近似的计算方法(将分布的电容进行集总)。 CL=Cdb+Cgd(Miller效应)+Cwire+Cg_fanout 从这里我们得到 1,任何信号都需要时间才能从输入端口传递到输出端口。 2,对CL的充放电影响系统的动态(翻转)功耗,如果想降低功耗,可以对不使用的信号添加使能。 3,由于Rp != Rn,所以Rp*CL != Rn*CL,所以rising time != falling time 对于分析亚稳态的问题,只需要记住第一条,任何信号从输入端口传递到输出端口都需要一定的时间。对于任何其他门电路,分析过程都一样。 3、SETUP/HOLD 时间的意义 S/H时间是时序器件的属性。下面我们来说一下,这两个时间是如何产生的。任何器件“原则”上都是电平有效的,边沿触发的器件是一种“把戏”。 首先我们看一下D锁存器。 Fig. 4. D锁存器 真值表: E D Q 0 x PreQ 1 0 0 1 1 1

寄存器-触发器-锁存器区别与联系

寄存器-触发器-锁存器区别与联系

1.1 寄存器 在实际的数字系统中,通常把能够用来存储一组二进制代码的同步时序逻辑电路称为寄存器.由于触发器内有记忆功能,因此利用触发器可以方便地构成寄存器。由于一个触发器能够存储一位二进制码,所以把n个触发器的时钟端口连接起来就能构成一个存储n位二进制码的寄存器。 1.2 锁存器 由若干个钟控D触发器构成的一次能存储多位二进制代码的时序逻辑电路。数据有效迟后于时钟信号有效。这意味着时钟信号先到,数据信号后到。在某些运算器电路中有时采用锁存器作为数据暂存器。 1.3 缓冲器 缓冲器相当于一个寄存器,暂时保存数据.缓冲是用来在两种不同速度的设备之间传输信息时平滑传输过程的常用手段。除了在关键的地方采用少量硬件缓冲器之外,大都采用软件缓冲。软件缓冲区是指在I/O操作期间用来临时存放输入/输出数据的一块存储区域。在操作系统中,引入缓冲的主要原因如: 缓和CPU与l/0设备间速度不匹配的矛盾。一般情况下,程序的运行过程是时而进行计算,时而进行输入或输出。以输出为例,如果没有缓冲,则程序在输出时,必然由于打印机的速度跟不上而使CPU停下来等待;然而在计算阶段,打印机又无事可做。如果设置一个缓冲区,程序可以将待输出的数据先输出到缓冲区中,然后继续执行;而打印机则可以从缓冲区取出数据慢慢打印。 1.4 寄存器和锁存器的区别 (1)寄存器是同步时钟控制,而锁存器是电位信号控制。 (2)寄存器的输出端平时不随输入端的变化而变化,只有在时钟有效时才将输入端的数据送输出端(打入寄存器),而锁存器的输出端平时总随输入端变化而变化,只有当锁存器信号到达时,才将输出端的状态锁存起来,使其不再随输入端的变化而变化 可见,寄存器和锁存器具有不同的应用场合,取决于控制方式以及控制信号和数据之间的时间关系:若数据有效一定滞后于控制信号有效,则只能使用锁;数据提前于控制信号而到达并且要求同步操作,则可用寄存器来存放数据。 一、锁存器 1. 锁存器的工作原理 锁存器不同于触发器,它不在锁存数据时,输出端的信号随输入信号变化,就像信号通过一个缓冲器一样;一旦锁存信号起锁存作用,则数据被锁住,输入信号不起作用。锁存器也称为透明锁存器,指的是不锁存时输出对于输入是透明的。

寄存器,触发器,锁存器之间的区别与联系

寄存器,触发器,锁存器之间的区别与联系 寄存器一般是边沿触发的触发器,电路里叫register,而触发器就是楼上所说的各种逻辑门构成的包含电平触发和边沿触发的两种,而锁存器则是电平触发的。所以一般说来,我们只叫寄存器和锁存器两种,在时序电路中寄存器的作用就是只在时钟的边沿有效传输data (setup time和hold time满足),而锁存器则在有效电平器件都可以传输data 寄存器:register,由时钟沿触发的,一般是主从的,我们这数字电路里也学过主要是由传输门和反向器构成,应用很广! 锁存器:latch,由电平触发,有很很多种,有我们数字电路里学的JK,RS等,一般是用传输门和反向器构成构成在较多,其优点是面积小,但时序分析较困难! 触发器一般是指寄存器:flip-flop D触发器上电时Q和Q非的电平是怎样的? D触发器刚上不定的。只有当有反馈后才知道。可以在R、S端加RC延时电路来预制初态 锁存器 在实际的数字系统中,通常把能够用来存储一组二进制代码的同步时序逻辑电路称为寄存器.由于触发器内有记忆功能,因此利用触发器可以方便地构成寄存器。由于一个触发器能够存储一位二进制码,所以把n个触发器的时钟端口连接起来就能构成一个存储n位二进制码的寄存器。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,尽当锁存器处于使能状态时,输出才会随着数据输入发生变化。 触发器 触发器是边沿敏感的存储单元,数据存储的动作有某一信号的上升或者下降沿进行同步的。在实际的数字系统中,通常把能够用来存储一组二进制代码的同步时序逻辑电路称为寄存器.由于触发器内有记忆功能,因此利用触发器可以方便地构成寄存器。由于一个触发器能够存储一位二进制码,所以把n个触发器的时钟端口连接起来就能构成一个存储n位二进制码的寄存器。寄存器用来存放数据的一些小型存储区域,用来暂时存放参与运算的数据和运算结果。其实寄存器就是一种常用的时序逻辑电路,但这种时序逻辑电路只包含存储电路。寄存器的存储电路是由锁存器或触发器构成的,因为一个锁存器或触发器能存储1位二进制数,所以由N个锁存器或触发器可以构成N位寄存器。触发器是在时钟的沿进行数据的锁存的,而锁存器是用电平使能来锁存数据的。所以触发器的Q输出端在每一个时钟沿都会被更新,而锁存器只能在使能电平有效器件才会被更新。有一些教科书里的触发器实际是锁存器。在FPGA设计中建议如果不是必须那么应该尽量使用触发器而不是锁存器。 钟控D触发器其实就是D锁存器,边沿D触发器才是真正的D触发器,钟控D 触发器在使能情况下输出随输入变化,边沿触发器只有在边沿跳变的情况下输出才变化。两个D锁存器可以构成一个D触发器,归根到底还是dff是边沿触发的,而latch是电平触发的。锁存器的输出对输入透明的,输入是什么,输出就是什么,这就是锁存器不稳定的原因,而触发器是由两个锁存器构成的一个主从触发器,输出对输入是不透明的,必须在时钟的上升

锁存器与触发器习题与参考答案

第5章 锁存器与触发器 习题与参考答案 [题5-1] 画出图题5-1所示的SR 锁存器输出端Q 、Q 端的波形,输入端S 与R 的波形如图所示。(设Q 初始状态为0) S R S R S R Q Q . . . . 图题5-1 解: S R . Q Q . . . . [题5-2] 画出图题5-2所示的SR 锁存器输出端Q 、Q 端的波形,输入端S 与R 的波形如图所示。(设Q 初始状态为0) S R S R Q Q . . . S R . . . . 图题 5-2 解: S R . Q Q . ... . . [题5-3] 画出图题5-3所示的电平触发SR 触发器输出端Q 、Q 端的波形,输入端S 、R 与CLK 的波形如图所示。(设Q 初始状态为0) C1S R S R Q Q . . . . CLK S R CLK . . . 图题5-3 解:

S R CLK . . Q Q . . [题5-4] 画出图题5-4所示的电平触发D 触发器输出Q 端的波形,输入端D 与CLK 的波形如图所示。(设Q 初始状态为0) C1 D D Q Q . . . . CLK D CLK . . 图题5-4 解: D CLK . . Q Q . . . . [题5-5] 画出图题5-5所示的边沿触发D 触发器输出端Q 端的波形,输入端D 与CLK 的波形如图所示。(设Q 初始状态为0) C1 1D D Q Q . . . . CLK D CLK . . . D Q Q . . . . CLK D CLK . . . C1 1D (1) (2) 图题5-5 解: D CLK . . . D CLK . .. (1) (2) Q Q . . . .

寄存器_触发器_锁存器区别与联系

1.1 寄存器 在实际的数字系统中,通常把能够用来存储一组二进制代码的同步时序逻辑电路称为寄存器.由于触发器有记忆功能,因此利用触发器可以方便地构成寄存器。由于一个触发器能够存储一位二进制码,所以把n个触发器的时钟端口连接起来就能构成一个存储n位二进制码的寄存器。 1.2 锁存器 由若干个钟控D触发器构成的一次能存储多位二进制代码的时序逻辑电路。数据有效迟后于时钟信号有效。这意味着时钟信号先到,数据信号后到。在某些运算器电路中有时采用锁存器作为数据暂存器。 1.3 缓冲器 缓冲器相当于一个寄存器,暂时保存数据.缓冲是用来在两种不同速度的设备之间传输信息时平滑传输过程的常用手段。除了在关键的地方采用少量硬件缓冲器之外,大都采用软件缓冲。软件缓冲区是指在I/O操作期间用来临时存放输入/输出数据的一块存储区域。在操作系统中,引入缓冲的主要原因如: 缓和CPU与l/0设备间速度不匹配的矛盾。一般情况下,程序的运行过程是时而进行计算,时而进行输入或输出。以输出为例,如果没有缓冲,则程序在输出时,必然由于打印机的速度跟不上而使CPU停下来等待;然而在计算阶段,打印机又无事可做。如果设置一个缓冲区,程序可以将待输出的数据先输出到缓冲区中,然后继续执行;而打印机则可以从缓冲区取出数据慢慢打印。 1.4 寄存器和锁存器的区别 (1)寄存器是同步时钟控制,而锁存器是电位信号控制。 (2)寄存器的输出端平时不随输入端的变化而变化,只有在时钟有效时才将输入端的数据送输出端(打入寄存器),而锁存器的输出端平时总随输入端变化而变化,只有当锁存器信号到达时,才将输出端的状态锁存起来,使其不再随输入端的变化而变化 可见,寄存器和锁存器具有不同的应用场合,取决于控制方式以及控制信号和数据之间的时间关系:若数据有效一定滞后于控制信号有效,则只能使用锁;数据提前于控制信号而到达并且要求同步操作,则可用寄存器来存放数据。 一、锁存器 1. 锁存器的工作原理 锁存器不同于触发器,它不在锁存数据时,输出端的信号随输入信号变化,就像信号通过一个缓冲器一样;一旦锁存信号起锁存作用,则数据被锁住,输入信号不起作用。锁存器也称为透明锁存器,指的是不锁存时输出对于输入是透明的。 锁存器原理见图

74hc573芯片资料

74HC573和74LS373原理一样,8数据锁存器。主要用于数码管、按键等等的控制 1. 真值表 参见74LS373的PDF的第2页: Dn LE OE On H H L H L H L L X L L Qo X X H Z 这个就是真值表,表示这个芯片在输入和其它的情况下的输出情况。每个芯片的数据手册(datasheet)中都有真值表。 布尔逻辑比较简单,在此不赘述; 2. 高阻态 就是输出既不是高电平,也不是低电平,而是高阻抗的状态;在这种状态下,可以 多个芯片并联输出;但是,这些芯片中只能有一个处于非高阻态状态,否则会将芯 片烧毁; 高阻态的概念在RS232和RS422通讯中还可以用到。 3. 数据锁存 当输入的数据消失时,在芯片的输出端,数据仍然保持; 这个概念在并行数据扩展中经常使用到。 4. 数据缓冲 加强驱动能力。74LS244/74LS245/74LS373/74LS573都具备数据缓冲的能力。 OE:output_enable,输出使能; LE:latch_enable,数据锁存使能,latch是锁存的意思; Dn:第n路输入数据; On:第n路输出数据;

再看这个真值表,意思如下: 第四行:当OE=1是,无论Dn、LE为何,输出端为高阻态; 第三行:当OE=0、LE=0时,输出端保持不变; 第二行第一行:当OE=0、LE=1时,输出端数据等于输入端数据; 结合下面的波形图,在实际应用的时候是这样做的: a.OE=0; b.先将数据从单片机的口线上输出到Dn; c.再将LE从0->1->0 d.这时,你所需要输出的数据就锁存在On上了,输入的数据在变化也影响不到输 出的数据了;实际上,单片机现在在忙着干别的事情,串行通信、扫描键盘……单 片机的资源有限啊。 在单片机按照RAM方式进行并行数据的扩展时,使用movx @dptr, A这条指令时,这 些时序是由单片机来实现的。 后面的表格中还有需要时间的参数,你不需要去管它,因为这些参数都是几十ns 级 别的,对于单片机在12M下的每个指令周期最小是1us的情况下,完全可以实现;如 果是你自己来实现这个逻辑,类似的指令如下: mov P0,A ;将数据输出到并行数据端口 clr LE setb LE clr LE ;上面三条指令完成LE的波形从0->1->0的变化 74ls573跟74LS373逻辑上完全一样,只不过是管脚定义不一样,数据输入和输出端

74hc573完整中文资料

74hc573中文资料参数-74hc573引脚图-功能原理 -74hC573的作用-应用电路-74hC563-54hC57 高性能硅门CMOS器件 SL74HC573跟LS/AL573的管脚一样。器件的输入是和标准CMOS输出兼容的;加上拉电阻,他们能和LS/ALSTTL输出兼容。 当锁存使能端为高时,这些器件的锁存对于数据是透明的(也就是说输出同步)。当锁存使能变低时,符合建立时间和保持时间的数据会被锁存。 ×输出能直接接到CMOS,NMOS和TTL接口上 ×操作电压范围:2.0V~6.0V ×低输入电流:1.0uA ×CMOS器件的高噪声抵抗特性 ·三态总线驱动输出 ·置数全并行存取 ·缓冲控制输入 ·使能输入有改善抗扰度的滞后作用 原理说明: M54HC563/74HC563/M54HC573/74HC573的八个锁存器都是透明的D 型锁存器,当使能(G)为高时,Q 输出 将随数据(D)输入而变。当使能为低时,输出将锁存在已建立的数据电平上。输出控制不影响锁存器的内部工作,即老数据可以保持,甚至当输出被关闭时,新的数据也可以置入。这种电路可以驱动大电容或低阻抗负载,可以直接与系统总线接口并驱动总线,而不需要外接口。特别适用于缓冲寄存器,I/O 通道,双向总线驱动器和工作寄存器。 HC563引脚功能表: PIN No 引脚号SYMBOL符 号 NAME AND FUNCTION名称及功能

1OE 3 State output Enable Input (Active LOW)3态输出使能输入 (低电平) 2, 3, 4, 5, 6, 7, 8, 9D0 to D7Data Inputs数据输入 12,13,14,15,16,17,18,19Q0 to Q7 3 State Latch Outputs 3态锁存输出11LE Latch Enable Input 锁存使能输入 10GND Ground接地(0V) 20VCC Positive Supply Voltage电源电压HC573引脚功能表: PIN No 引脚号SYMBOL符号NAME AND FUNCTION名称及功能 1OE 3 State output Enable Input (Active LOW)3态输出使 能输入(低电平) 2, 3, 4, 5, 6, 7, 8, 9D0 to D7Data Inputs数据输入 12,13,14,15,16,17,18,19Q0 to Q7 3 State Latch Outputs 3态锁存输出11LE Latch Enable Input 锁存使能输入 10GND Ground接地(0V) 20VCC Positive Supply Voltage电源电压

第三章锁存器与触发器

Chapt3 锁存器与触发器 一、选择题 1.N个触发器可以构成能寄存位二进制数码的寄存器。 A.N-1 B.N C.N+1 D.2N 2.一个触发器可记录一位二进制代码,它有个稳态。 A.0 B.1 C.2 D.3 3.对于D触发器,欲使Q n+1=Q n,应使输入D= 。 A.0 B.1 C.Q D.Q 4.存储8位二进制信息要个触发器。 A.2 B.3 C.4 D.8 5.对于T触发器,若原态Q n=0,欲使新态Q n+1=1,应使输入T= 。 A.0 B.1 C.Q D.Q 6.对于T触发器,若原态Q n=1,欲使新态Q n+1=1,应使输入T= 。 A.0 B.1 C.Q D.Q 7.在下列触发器中,有约束条件的是。 A.主从JK F/F B.主从D F/F C.同步RS F/F D.边沿D F/F 8.对于JK触发器,若J=K,则可完成触发器的逻辑功能。 A.RS B.D C.T D.Tˊ 9.欲使JK触发器按Q n+1=Q n工作,可使JK触发器的输入端。 A.J=K=0 B.J=Q,K=Q C.J=Q,K=Q D.J=Q,K=0 E.J=0,K=Q 10.欲使JK触发器按Q n+1=Q n工作,可使JK触发器的输入端。 A.J=K=1 B.J=Q,K=Q C.J=Q,K=Q D.J=Q,K=1 E.J=1,K=Q 11.欲使JK触发器按Q n+1=0工作,可使JK触发器的输入端。 A.J=K=1 B.J=Q,K=Q C.J=Q,K=1 D.J=0,K=1 E.J=K=1 12.欲使JK触发器按Q n+1=1工作,可使JK触发器的输入端。 A.J=K=1 B.J=1,K=0 C.J=K=Q D.J=K=0 E.J=Q,K=0 13.欲使D触发器按Q n+1=Q n工作,应使输入D= 。 A.0 B.1 C.Q D.Q 14.下列触发器中,克服了空翻现象的有。

数据锁存器74HC573在模式锁存触发电路中的应用

数据锁存器74HC573在模式锁存触发电路中的应用【任务引领】 上一个任务中我们产生了一个1秒钟的延时信号,在此期间的过渡无效状态都不能引起后续继电器的动作,那就需要添加一个锁存器进行信号的锁存处理,我们利用数据锁存器74HC573完成此项任务。 1 图锁存器认识(动画112) 【知识目标】 1.掌握寄存器的工作原理及分类。 2.掌握锁存器的工作原理。 【能力目标】 1.能利用锁存器实现数据锁存。 【任务准备】 1.触发器的原理及应用; 8.2.1寄存器的特点和分类 能存放二值代码的部件叫做寄存器。寄存器按功能分为数码寄存器和移位寄存器。数码寄存器只供暂时存放数码,可以根据需要将存放的数码随时取出参加

运算或者进行数据处理。移位寄存器不但可存放数码,而且在移位脉冲作用下,寄存器中的数码可根据需要向左或向右移位。数码寄存器和移位寄存器被广泛用于各种数字系统和数字计算机中。寄存器存入数码的方式有并行输入和串行输入两种。并行输入方式是将各位数码从对应位同时输入到寄存器中;串行输入方式是将数码从一个输入端逐位输入到寄存器中。从寄存器取出数码的方式也有并行输出和串行输出两种。在并行输出方式中,被取出的数码在对应的输出端同时出现;在串行输出方式中,被取出的数码在一个输出端逐位输出。 并行方式与串行方式比较,并行存取方式的速度比串行存取方式快得多,但所用的数据线要比串行方式多。 构成寄存器的核心器件是触发器。对寄存器中的触发器只要求具有置0、置1的功能即可,所以无论何种结构的触发器,只要具有该功能就可以构成寄存器了。 能存放二值代码的部件叫做寄存器。寄存器按功能分为数码寄存器和移位寄存器。数码寄存器只供暂时存放数码,可以根据需要将存放的数码随时取出参加运算或者进行数据处理。移位寄存器不但可存放数码,而且在移位脉冲作用下,寄存器中的数码可根据需要向左或向右移位。数码寄存器和移位寄存器被广泛用于各种数字系统和数字计算机中。寄存器存入数码的方式有并行输入和串行输入两种。并行输入方式是将各位数码从对应位同时输入到寄存器中;串行输入方式是将数码从一个输入端逐位输入到寄存器中。从寄存器取出数码的方式也有并行输出和串行输出两种。在并行输出方式中,被取出的数码在对应的输出端同时出现;在串行输出方式中,被取出的数码在一个输出端逐位输出。 并行方式与串行方式比较,并行存取方式的速度比串行存取方式快得多,但

74HC573功能说明

74CH573锁存器的功能 74HC573和74LS373原理一样,8数据锁存器。主要用于数码管、按键等等的控制。74HC573有20个脚,数据的进和出没有逻辑关系,这个芯片主要是看高电压激活还是低电压激活: 1是低电压激活芯片 2~9脚是数据的输入脚从D0到D7 10脚是接地 11脚是高电压激活芯片 12~19脚是数据的输出脚

74HC573真值表,意思如下: 第一行/第二行:当OE=0、LE=1时,输出端数据等于输入端数据; 第三行:当OE=0、LE=0时,输出端保持不变; 第四行:当OE=1是无论Dn、LE为何,输出端为高阻态; 2. 高阻态 就是输出既不是高电平,也不是低电平,而是高阻抗的状态;在这种状态下,可以多个芯片并联输出;但是,这些芯片中只能有一个处于非高阻态状态,否则会将芯片烧毁。高阻态的概念在RS232和RS422通讯中还可以用到。 3. 数据锁存 当输入的数据消失时,在芯片的输出端,数据仍然保持;这个概念在并行数据扩展中经常使用到。 4. 数据缓冲 加强驱动能力:74LS244/74LS245/74LS373/74LS573都具备数据缓冲的能力。 ◆OE:output_enable,输出使能; ◆LE:latch_enable,数据锁存使能,atch是锁存的意思; ◆Dn:第n路输入数据; ◆On:第n路输出数据; 74HC573波形图,在实际应用的时候是这样做的:

a.OE=0; b.先将数据从单片机的口线上输出到Dn; c.再将LE从0->1->0 ; d.这时,你所需要输出的数据就锁存在On上了,输入的数据在变化也影响不到输出的数据了;实际上,单片机现在在忙着干别的事情,串行通信、扫描键盘……单 片机的资源有限啊。 在单片机按照RAM方式进行并行数据的扩展时,使用movx @dptr, A这条指令时,这些时序是由单片机来实现的。 后面的表格中还有需要时间的参数,你不需要去管它,因为这些参数都是几十ns级别的,对于单片机在12M下的每个指令周期最小是1us的情况下,完全可以实现;如果是你自己来实现这个逻辑,类似的指令如下: MOV P0,A ; //将数据输出到并行数据端口 CLR LE SETB LE CLR LE ; //上面三条指令完成LE的波形从0->1->0的变化 74LS573跟74LS373逻辑上完全一样,只不过是管脚定义不一样,数据输入和输出端.

基于verilog的74HC573设计

基于VerilogHDL语言的FPGA设计 ——74HC573

一、设计要求: 74HC573:3态非反转透明锁存器,74HC573的输入是和标准CMOS输出兼容的;加上拉电阻,他们能和 LS/ALSTTL输出兼容。 当锁存使能端为高时,这些器件的锁存对于数据是透明的(也就是说输出同步)。当锁存使能变低时,符合建立时间和保持时间的数据会被锁存. 本设计利用verilog语言对74HC573进行描述。74HC573真值表如图1所示: 图1.74HC573真值表 Output Enable:输出使能; Latch Enable:数据锁存使能; D:输入数据; O:输出数据; 第- 1 -页共5页

二、顶层设计结构图 图2.模块结构图 图3.模块RTL级电路图 第- 2 -页共5页

三、模块详细设计 3.1 规范说明 该模块实现的功能为:八位3态锁存器,可锁存地址、数据,也可作缓存器用,主要用于数码管、按键等等的控制。当输入的数据消失时,在芯片的输出端,数据仍然保持; 这个概念在并行数据扩展中经常使用到。 3.2 端口定义 3.3 代码 module verilog_74hc573(LE,OE_N,D,Q); input LE,OE_N; input [7:0] D; output [7:0] Q; reg [7:0] Q_r; wire [7:0] D_r; assign D_r=D; always@(OE_N,LE,D) begin if(OE_N) begin Q_r<=8'hz; end else if(LE) begin Q_r<=D_r; 第- 3 -页共5页

相关主题
文本预览
相关文档 最新文档