当前位置:文档之家› 汽车尾灯的设计

汽车尾灯的设计

汽车尾灯的设计
汽车尾灯的设计

目录

第一章设计任务书

第二章系统分析

第三章单元电路的设计

第四章汽车尾灯总电路图

第五章汽车尾灯PCB图

第六章仿真电路

第七章电路调试

第八章元件清单

第九章设计体会

第一章:设计任务书

本课题设计一个汽车尾灯的控制电路。该电路由两个开关的四种状态控制,分别对应着全灭、左转、右转、刹车。

假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)

a.汽车正常运行是指示灯全亮灭;

b.右转弯时,右侧3个指示灯按右循环顺序点亮;

c.左转弯时,左侧3个指示灯按左循环顺序点亮;

d.临时刹车时所有指示灯同时闪烁。

列出尾灯与汽车运行状态表,如下表1.1:

表1.1尾灯和汽车运行状态关系表

第二章:系统分析

1:设计总框图

由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。

由此得出在每种运行状态下,每个指示灯与给定条件(S1、S0、CP、Q1、Q0)的关系,即逻辑功能表如表2.1所示(表中0表示灯灭状态、1表示灯亮状态)。

表2.1汽车尾灯控制逻辑功能表

2.系统方案的选择

设计本电路,我们采用双JK触发器74LS76构成三进制计数器。译码电路由3-8线译码器74LS138和6个与非门构成。用555定时器构成的多谐振荡器产生输出信号为1赫兹。

3:电路的工作原理

设计本电路,我们采用双JK触发器74LS76构成三进制计数器。译码电路由3-8线译码器74LS138和6个与非门构成。用555定时器构成的多谐振荡器产生输出信号为1赫兹。

经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:

首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给JK触发器和刹车时的输入信号。

三进制计数器电路可有双JK触发器74LS76

左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。

第三章:单元电路的设计

1:时钟电路

方案一:用555芯片构成多谐震荡器产生时钟。

时钟脉冲产生电路用一片555定时器构成多谐振荡器,设计脉冲周期为1s,其计算公式为:

T= ln2(R1+2R2) C=(470000+2*500000)*10-6*0.7=1.029,以此信号作为的CP

555电路图

波形图如下:

由图可知: T= T2—T1= 5.523 3—4.5426=0.9807S,与理论值存在一定误差,由波形图也可看出,波形并不是很工整。

方案二:利用单片机内部资源的定时器产生时钟(略)

2:三进制计数器电路

方案一: 三进制计数器我们用JK 触发器构成。JK 触发器 的特性方程:

1n n n Q JQ KQ +=+ (CP 下降沿有效) 连线图如下:

仿真图如下:

由上图可见Q1,Q0按00,01,11三种状态输出。

方案二:

计数器选用集成电路74LS163进行设计较简便。74LS163是4位二进制同步计数器,它具有同步清零、同步置数的功能。74LS163的外引线排列如图所示,其功能表如表2所示。图中,CR是低电平有效的同步清零输入端,LD是低电平有效才同步并行置数控制端,CT P,CT T是计数控制端,CO是进位输出端,D0~D3是并行数据输入端,Q0~Q 3是数据输出端。

图:74LS163的外引线排列图

CT74LS163功能表

|

3:汽车尾灯电路

汽车尾灯电路如图所示,其显示驱动电路由6个发光二极管和6个反相器构成;

译码电路由3-8线译码器74LS138和6个与非门(由74LS00芯片)构成。74LS138的三个输入端A,B,C分别接、Q0, Q1,S1,而Q1、Q0是三进制计数器的输出端,当S1=0、使能信号A=G=1,计数器的状态为00,01,10时,74LS138对应的输出端Y0、Y1、Y2依次为0有效(Y3,Y4,Y5信号为“1”无效),即反相器G1~G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮示意汽车转弯。若上述条件不变,而S1=1,则74LS138对应的输出端Y4、Y5、Y6依次为0有效,即反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LS138的输入端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁

4.开关控制电路

开关控制电路,设74LS138和显示驱动电路的使能端信号分别为G和A,概括总体逻辑功能分析及组合得G、A与给定条件的真值表,如表所示。

表S1、S0、CP与G、A逻辑功能表

由表过整理得逻辑表达式为

G=S1⊕S0

________________________

________ ___________

A=S1S0+S1S0CP=S1S0·S1S0CP

由上式得开关控制电路,如图所示。

由以上分析可得出总体框图,如图所示:

如图:设计总体框图

第四章:汽车尾灯总电路

第五章:PCB图

第六章:仿真电路

第七章:电路调试

电子安装完毕,通常不宜急于通电,先要认真检查一下。检查内容包括:

检测的方法通常有两种方法:

(1)连线是否正确

这种方法的特点是,根据电路图连线,按一定顺序一一检查安装好的线路,由此,可比效容易查出错线和少线。按照实际线路来对照原理图电路进行查线

(2)这是一种以元件为中心进行查线的方法。把每个元件引脚的连线一次查清,检查每个去处在电路图上是否存在,这种方法不但可以查出错线和少线,还容易查出多线。

(3)为了防止出错,对于已查过的线通常应在电路图上做出标记,最好用指针式万用表“欧姆1”档,或用数字万用表的“二极管档”的蜂鸣器来测量元器件引脚,这样可以同时发现接触不良的地方。

(4)元器件的安装情况

(5)检查元器件引脚之间有无短路;连接处有无接触不良;二极管的极性和集成元件的引脚是否连接有误。

(6)电源供电,信号源连接是否正确。

(7)电源端对地是否有短路的现象。

(8)在通电前,断开一根电源线,用万用表检查电源端对地是否存在短路。若电路经过上述检查,并确认无误后,就可以转入调试。

2.调试过程中遇到的问题及解决方法:

1:静态调试

交流,直流并存是电子电路工作的一个重要组成特点。一般情况下,直流为交流服务,直流是电路工作的基础。因此,电子电路的调试有静态调试和动态调试之分。静态调试过程。例如,通过静态测试模拟电路的静态工作点,数字电路的各输入端和输出端的高低电平值及逻辑关系等,可以及时发现已经损坏的元器件,判断电路工作情况,并及时调整电路参数,使电路工作状态符合设计要求。

对照原理图,用万用电表一一检查线路的各个接口是否接通,有无短路、断路或漏接现象,如果有,应及时改好电路。

对照原理图,检查芯片的引角是否接正确。检查芯片的VCC和GND是否接好。

2:动态调试

动态调试是在静态调试的基础上进行的。调试的方法是在电路的输入端接入适当频率和幅值的信号,并循着信号流向逐级检测各有关点的波形,参数和性能指标。发现故障现象,应采取不同的方法缩小故障范围,最后设法排除故障。

测试过程中不能凭感觉和印象,要始终借助仪器观察。使用示波器时,最好把示波器的信号输入方式置于“DC”挡,通过直流耦合方式,右同时观察被测信号的交,直流成分。

通过调试,最后检查功能块和整机的各种指标是否满足设计要求,如必要,再进一步对电路参数提出合理的修正。

在进行完上述检查后。通电进行调试。用万用表检查两块芯片的VCC和GND,看是否有电压。是不和输入的电压一致。

在确保芯片处于工作状态后,在录音机接口处,输入一个信号,用示波器沿着只许信号在走向,一路测量,把测得波形和输入信号的波形对比。一级一级的测量,如果发现不对,再认真查线路,如果线路一定是对的。那有可能是芯片出了故障而不能正常工作。可以换一个芯片试。如果换上新芯片后,工作正常,说明原来的芯片是坏的。就这样一点一点的的调试,直到调试成功为止。

3:烧毁

在电路测试阶段由于没有保护措施,所用的74LS32上面的四个或门有一个被烧毁了,由于当时还不知道,所以查了好久才发现问题所在,解决方法是用适当的电阻进行保护。

4:虚焊

这是焊接过程中经常遇到的问题,如果不加以纠正将使电路无法工作,而且这个问题很难检查出来,也只能利用万用表检查,我们刚发现是由于接上电路发现发光二极管是一直点亮的,后来发现是由于一

个焊点虚焊导致或门的一端输入为接空引起的。第八章:元件清单

74LS系列芯片:

74LS 138 1个

74LS00 2个

74LS86 1个

74LS10 1个

74LS76 1个

74LS04 2个

定时器:

555 1个

电阻:

200Ω6个

500kΩ1个

470kΩ 1个

3.3kΩ2个

电容;

1.0μF 1个

10nF 1个

发光二极管 6个

拨动开关2个

第九章:设计心得体会

本次课程设计收获很大,开始设计时感觉没什么难的,后来到动手的时候觉得遇见了好多没想到的问题,然后一个一个的解决,我想这也是最吸引我们的地方,当真正投入时才发现乐在其中。

在方案的选择中,我们选择了用JK触发器构成三进制计数器电路,去外面跑了多次也没有找到JK触发器74LS76芯片。在Protel 中制PCB 板的时候,开始做单面板,线很多,走不通,又该为双面板。当制好的那一刻,我感到无比的欣慰。在制板的当中,我学会了PCB中没有学会的东西。

虽然我们没做实物,但我们通过EWB仿真,出现了我预料的结果,说明了电路没问题,证明了理论的正确。

这次课程设计,虽然短暂。但却是我们第一次的自主合作的设计电路。以前书本上的内容第一次完完全全的在实际中实现。在设计过程中,遇到了书本中不曾学到的情况。同时,由于是三人合作制作,是我们学到在将来大规模电路设计中,团体协作是多么的重要。

最后,感谢老师为我们提供这次的实习机会和悉心的指导

汽车尾灯课程设计

综述 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节. 当今社会生活节奏快,交通拥挤,导致交通事故频繁发生,其中汽车追尾事件在交通事故中所占比重较大,追尾时间的产生主要是由于司机的疏忽以及无法把握前方车辆的运行的状况而导致的;而汽车尾灯控制电路的产生,恰好有利于缓解这一状况,通过对尾灯的控制,体现汽车在公路的上的行驶状态,即汽车正常行驶时指示灯全灭;右转弯时,右侧3个指示灯右循环点亮左转弯时左侧三个指示灯按左循环循序点亮;临时刹车时所有指示灯同时闪烁。通过这一特点来提示后方车辆本车的行驶情况,有利于减少汽车追尾事件的发生,是一个值得普及的设计,而与此同时在此设计的基础上还可实现电路的拓展,例如加上被劫持报警装置等实用设备。 汽车尾灯控制电路如果在汽车领域广泛应用将有利于减少交通事故的发生。 1 总体逻辑结构 1.1汽车尾灯运行状态关系 根据课程设计任务书要求,分析汽车运行状态与尾灯关系可得如下关系表(表1-1)。其中J1,J2代表控制开关。 表1-1 汽车尾灯与汽车运行关系表 J2 J1 运行状态左尾灯右尾灯

0 0 1 1 0 1 1 正常行驶 右转弯 左转弯 紧急刹车 灭 灭 左尾灯循环闪烁 所有灯同时闪烁 灭 右尾灯循环闪烁 灭 所有灯同时闪烁 1.2汽车尾灯电路的逻辑电路关系 按照以上汽车的运行状态与尾灯关系分析总结,写出汽车尾灯正常行驶,左转弯,右转弯,紧急刹车时的二进制代码,以实现汽车正常行驶时指示灯全灭;右转弯时,右侧3个指示灯右循环点亮;左转弯时左侧三个指示灯按左循环循序点亮;临时刹车时所有指示灯同时闪烁的任务要求。其关系如下表(表1-2)。 表1-2汽车尾灯电路的逻辑关系表 开关控制二进制代码左尾灯右尾灯 J2 0 0 0 0 1 1 1 1 J1 1 1 1 1 Q1 X 1 1 X Q0 X 1 1 X D4 1 C L K D5 1 C L K D6 1 C L K D1 1 C L K D2 1 C L K D3 1 C L K

数电课设汽车尾灯控制电路终审稿)

数电课设汽车尾灯控制 电路 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

课程设计课程名称数字电子技术 课题名称汽车尾灯控制电路 专业自动化 班级1591班 学号 姓名黄建龙 指导老师程春红 2017年 03 月 16 日

电气信息学院 课程设计任务书 课题名汽车尾灯控制电路 姓黄建龙专自动化班1591班学21 指导老程春红 课程设计时 一、任务及要求 任务:假设汽车尾部左右量测各有3个指示灯(用发光二极管模拟)1.汽车正常运行时指示灯全灭;2.右转弯时,右侧3个指示灯按右循环顺序点亮;3.左转弯时,左侧3个指示灯按左循环顺序点亮;4.临时刹车时所有指示灯同时闪烁。要求:1.设计思路清晰,给出整体设计框图,画出整机原理图;2.给出具体设 计思路,设计各单元电路、电路器件;3.总电路设计;4.进行实验仿真调试,验 证设计结果;5.编写设计说明书;6.所有图纸和说明书用计算机打印。 二、进度安排 第一周: 周一:课题内容介绍和查找资料; 周二~周三:方案设计,电路仿真,周三下午检查设计方案及仿真结果; 周四~周日:周四上午领元器件;安装、调试电路; 第二周: 周一~周三:安装、调试电路; 周四:验收电路,收元器件,整理实验室,撰写设计报告,打印相关图纸; 周五:答辩,收设计报告。 三、参考资料 1.康华光主编. 电子技术基础(数字部分),高等教育出版社。 2.阎石主编. 电子技术基础(数字部分),清华大学出版社。 3.任为民主编. 电子技术基础课程设计,中央广播电视大学出版社。 4.彭介华主编. 电子技术课程设计指导,高等教育出版社。 5.谢自美主编.《电子线路设计、实验、测试》,华中理工出版社。 目录 一、课程设计的任务要求---------------------------------------3 二、设计方案-------------------------------------------------4

课程设计——汽车尾灯控制器的设计1

& 成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 《 汽车尾灯控制器的设计 题目 学生姓名 专业 班级 : 指导教师 日期:2010年7月5日 {

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module after the formation of a car taillight integrated the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory · -

课程设计:汽车尾灯控制电路word文档

西南科技大学电子技术课程设计 课程名称:电子技术课程设计 程序题目:汽车尾灯控制电路 姓名:何忠建左朝振 学号: 20045081 20045100 班级:自动 0405 班 指导教师:曹文 时间:2007.1.14 评分:

汽车尾灯控制电路 一.设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按 右循环顺序点亮(R 1→R 1 R 2 →R 1 R 2 R 3 →全灭→R 1 )时间间隔0.5S(采用一个2HZ的 方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L 1→L 1 L 2 →L 1 L 2 L 3 →全 灭→L 1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R 1 R 2 R 3 L 1 L 2 L 3 点亮);当汽车后退的时候所有尾灯循环点亮;当晚上行车的时候汽车尾灯的最下一个灯一直点亮。 二、设计条件 本设计基于学校电子技术实验后设计的,通过在电脑上利用各种软件设计而成,包括Quartus II 5.0,Multisim2001以及DXP2004等设计仿真软件。 三、设计要求 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。汽车尾灯控制电路设计总体框图如图1所示。 汽车尾灯和汽车运行状态表1-1

数字电路课程设计汽车尾灯控制电路设计

汽车尾灯控制电路的设计 目录 1.设计任务和设计要求 (1) 1.1设计任务 (1) 1.2设计要求 (1) 2. 设计原理与总体框图 (1) 3.单元电路设计 (2) 3.1三进制计数器 (2) 3.2汽车尾灯控电路 (3) 3.3开关控制电路 (4) 3.4时钟产生电路 (5) 4.汽车尾灯总体电路 (5) 5.试验方案及体会 (7) 6.器件清单 (7) 7.参考文献 (11)

1.设计任务和设计要求 1.1设计任务 设计一个汽车尾灯控制电路。汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。 1.2设计要求 设汽车尾灯左右两侧各有3个指示灯(用发光管模拟)。要求是: (1)汽车正常行驶时,尾灯全部熄灭。 (2)当汽车左转弯时,右侧3个指示灯按左循环点亮。 (3)当汽车右转弯时,左侧3个指示灯按右循环点亮。 (4)临时刹车时,所有指示灯同时闪烁。 (5)选择电路方案,完成对确定方案的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。制作实际运行装置。 表1.1尾灯和汽车运行状态关系表 2. 设计原理与总体框图 根据设计的基本要求,汽车左或右转弯时 , 三个指示灯循环点亮 , 所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与各给定条件 (SI 、S0 、 CP 、 Q1 、 Q0 )的关系 , 即逻辑功能表如表2.1所示 ( 表中0表示灯灭状态 ,1表示灯亮状

态 ) 。 表2.1.汽车尾灯控制逻辑功能表 开关控制 三进制计数器六个指示灯 S1 S0 Q1 Q0 D6 D5 D4 D1 D2 D3 0 0 ××0 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 1 0 0 0 0 1 1 0 0 0 1 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 1 1 ××CP CP CP CP CP CP 根据表1.1可以得出原理框图,如图2.1所示。 图2.1 汽车尾灯控制电路原理图 3.单元电路设计 3.1三进制计数器 三进制计数器可由双JK触发器74LS76构成,其连接电路如图3.1所示。

汽车尾灯-设计

一课程设计任务、要求 用6只小灯泡模拟6只汽车尾灯,左侧3只,右侧3只。用4个开关分别模拟脚踏制动器,停车信号,左转弯控制和右转弯控制。 汽车在转弯时,该侧的3只尾灯按下列状态周期性的亮与暗。000100 110111000…… 在无制动时,如果驾驶员不慎将两个转向开关都接通,则两侧的尾灯都作同样的周期性亮暗变化。 在制动时,若转弯开关未合上(或错误的将两个转弯开关都合上),所有的6只尾灯均亮。 停车时,6只尾灯按脉冲频率闪亮。用555定时器实现脉冲信号。 二、设计总体思路、基本原理和框图 1.总设计思路 为达到设计要求汽车尾灯控制电路应包括时钟脉冲电路,四进制计数器电路,尾灯显示电路和开关控制电路。 脉冲信号产生电路提供脉冲信号给计数器和尾灯。 当汽车完成左转和右转功能时,要求尾灯按000→100→110→111→000的顺序循环点亮,所以需要一个四进制计数器完成四进制计数功能,然后再通过开关的选择,完成汽车左转和右转尾灯的点亮。 汽车需要达到左转、右转、制动和停车四种状态,所以需要四个开关分别控制,通过开关的选择从而达到几种功能的选择。 显示电路需要六个指示灯,还包括一些门电路,通过开关的选择达到设计所要求的状态。 2.电路的基本原理 首先通过555定时器构成的多谐振荡器产生1HZ的脉冲信号,该脉冲信号用于提供给两个四进制计数器以及开关控制电路中三输入或门的输入信号。 其次用两个160计数器改成四进制计数器,用于产生00、01、10、11的循

环信号,次信号提供左转和右转的原始信号。汽车转弯时该侧的三个指示灯按000→100→110→111→000的顺序循环。 计数器为00状态时,表示该侧尾灯状态为000; 计数器为01状态时,表示该侧尾灯状态为100; 计数器为10状态时,表示该侧尾灯状态为110; 计数器为11状态时,表示该侧尾灯状态为111。 用四个开关T、Z、R、L四个开关分别控制汽车停车、制动、右转、左转四个状态。汽车状态与尾灯显示如下表所示:

汽车尾灯课程设计++VHDL++EDAgrx

《2011至尊恋爱秘籍》男人幸福必备! 目录 1.引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (1) 1.3 EDA的介绍 (1) 1.3.1 EDA技术的概念 (1) 1.3.2 EDA技术的特点 (2) 1.3.3 EDA设计流程 (2) 1.4硬件描述语言(VHDL) (2) 1.4.1 VHDL的介绍 (2) 1.4.2 VHDL语言的特点 (3) 2.总体设计 (4) 2.1需求分析 (4) 2.2汽车尾灯控制器的工作原理 (4) 2.3 汽车运行状态表和总体框图 (5) 3.详细设计 (6) 3.1各组成模块 (6) 3.2时钟分频模块 (6) 3.3 汽车尾灯主控模块 (6) 3.4左边灯控制模块 (7) 3.5右边灯控制模块 (9) 4.系统仿真与调试 (10) 4.1分频模块仿真及分析 (10) 4.2汽车尾灯主控模块仿真及分析 (10) 4.3左边灯控制模块仿真及分析 (11) 4.4右边灯控制模块仿真及分析 (11) 4.5整个系统仿真及分析 (12) 4.6 总体设计电路图 (12) 总结 (13) 参考文献 (14)

1.引言 随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。在本课程设计根据状态机原理[1]实现了汽车尾灯常用控制。 1.1设计的目的 本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术[2]并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对实用汽车尾灯控制器[3]的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。 1.2设计的基本内容 根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 1.3 EDA的介绍 1.3.1 EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

电子技术课程设计汽车尾灯

课程设计报告设计题目:汽车尾灯控制电路的设计与实现 班级:计算机 学号: 姓名: 指导教师: 设计时间: 摘要 进行本次课程设计主要有两个目的,一是对数字逻辑这门课程的理论知识进行一次系统的梳理;二是锻炼自己将理论应用于实践的能力。针对以上目的,就要求做到,通过分析实际的需求提炼出相应的理论模型,进而再进行电路的设计,在之后的实际电路实现的过程中,还可以根据实际的需要对电路做出一些改进。 本课题设计一个汽车尾灯的控制电路。汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。 使用555定时器发出秒脉冲,74LS161计数器和74LS138以及其他逻辑门实现控制个驱动功能,实现基本要求和扩展,即汽车正常行驶时指示灯不亮;右转弯时右侧3个指示灯按右循环顺序点亮,左侧指示灯全灭;左转弯时左侧3个指示灯按左循环顺序点亮,右侧指示灯全灭;汽车临时刹车和倒车时指示灯闪烁;右转弯刹车时右侧灯顺序循环点亮,左侧灯全亮;左转弯刹车时左侧灯顺序循环点亮,右侧灯全亮以及用数码管显示各个状态等。 关键词:计数器,译码器,555定时器,逻辑门等 目录 摘要 (2) 第1章概述 (4) 第2章课程设计任务及要求 (5) 2.1 设计任务 (5)

2.2 设计要求 (5) 第3章系统设计 (7) 3.1 方案论证 (7) 3.2 系统设计 (7) 3.2.1 结构框图及说明 (7) 3.2.2 系统原理图及工作原理 (8) 3.3 单元电路设计 (9) 3.3.1 单元电路工作原理 (9) 3.3.2 元件参数选择 (12) 第4章软件仿真 (13) 4.1 仿真电路图 (13) 4.2 仿真过程 (13) 4.3 仿真结果 (14) 第5章安装调试 (20) 5.1 安装调试过程 (20) 5.2 故障分析 (20) 第6章结论 (21) 第7章使用仪器设备清单 (21) 参考文献 (21) 收获、体会和建议 (22) 第1章概述 随着现代科技和社会经济的发展,汽车已经逐步被广泛应用于人们的生产和生活。而对于汽车行驶安全的要求就显得尤为重要,通过科技的力量来改进汽车的性能已经成为主要的方向。立足于《电子技术》这门课程的知识体系,力求通过本学科的一些知识对汽车的尾灯显示电路进行模拟和做出一些分析改进。希望通过这次设计实践,达到两个目的,锻炼自己的动手实践能力,以及用已学的知识对汽车尾灯控制电路进行详尽的分析与模拟。 对于汽车尾灯控制电路这项课设,主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态一一对应;三是汽车尾灯的显示要依次循环变亮。针对

数电课程设计汽车尾灯控制电路

汽车尾灯控制电路的设计 一、设计基本要求: 假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟) 1.汽车整车运行时指示灯全灭; 2.右转弯时,右侧3个指示灯按右循环顺序点亮; 3.左转弯时左侧3个指示灯按左循环顺序点亮; 4.临时刹车时所有指示灯同时闪烁 二、设计方案: 1.汽车尾灯显示状态与汽车运行状态的关系 为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。假定用开关J1和J2进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表所示。 2. 在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。 方案原理框图如下图所示 开关控制电路显示、驱动电路 译码电路 计数器 {尾灯电路 汽车尾灯控制电路原理框图 本设计采用的方案主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。 三、电路设计步骤: 1.时钟脉冲电路

由于N555定时器内部的比较器灵敏度比较高,输出驱动电流比较大,功能灵活,而且采用差分电路形式,它的振荡率受电源电压和温度的影响小,由555定时器构成的多谐振荡器频率比较稳定,不易干扰;且此电路对秒脉冲的精度要求不是很高,所以选用有555构成的多谐振荡器做为脉冲电路。时钟脉冲电路如 下图1所示: 1.时钟脉冲电路 555定时器引脚图 2. 三进制计数器 汽车左或右转弯时由于是三个指示灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求电路,由此得出在每种运行状态下,各指示灯与各给定条件的关系,即逻辑功能表如下表所示:(0表示灯灭,1表示灯亮)

汽车尾灯设计

汽车尾灯-设计

————————————————————————————————作者: ————————————————————————————————日期:

一课程设计任务、要求 用6只小灯泡模拟6只汽车尾灯,左侧3只,右侧3只。用4个开关分别模拟脚踏制动器,停车信号,左转弯控制和右转弯控制。 汽车在转弯时,该侧的3只尾灯按下列状态周期性的亮与暗。000100 110111000…… 在无制动时,如果驾驶员不慎将两个转向开关都接通,则两侧的尾灯都作同样的周期性亮暗变化。 在制动时,若转弯开关未合上(或错误的将两个转弯开关都合上),所有的6只尾灯均亮。 停车时,6只尾灯按脉冲频率闪亮。用555定时器实现脉冲信号。 二、设计总体思路、基本原理和框图 1.总设计思路 为达到设计要求汽车尾灯控制电路应包括时钟脉冲电路,四进制计数器电路,尾灯显示电路和开关控制电路。 脉冲信号产生电路提供脉冲信号给计数器和尾灯。 当汽车完成左转和右转功能时,要求尾灯按000→100→110→111→000的顺序循环点亮,所以需要一个四进制计数器完成四进制计数功能,然后再通过开关的选择,完成汽车左转和右转尾灯的点亮。 汽车需要达到左转、右转、制动和停车四种状态,所以需要四个开关分别控制,通过开关的选择从而达到几种功能的选择。 显示电路需要六个指示灯,还包括一些门电路,通过开关的选择达到设计所要求的状态。 2.电路的基本原理 首先通过555定时器构成的多谐振荡器产生1HZ的脉冲信号,该脉冲信号用于提供给两个四进制计数器以及开关控制电路中三输入或门的输入信号。 其次用两个160计数器改成四进制计数器,用于产生00、01、10、11的循

汽车尾灯课程设计

目录 一、设计课题任务和要求 (2) 二、总体方案选择的论证 (2) 三、单元电路的设计 (4) 四、总体电路图、功能单元电路图 (6) 五、组装与调试 (9) 六、所设计电路的特点以及改进意见 (11) 七、所用元器件的编号列表 (11) 八、参考文献 (11) 九、收获、体会和建议 (12) 十、附录 (12) 一、设计课题任务和要求 本课题设计一个汽车LED尾灯的控制器电路。该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。 当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。 当接通刹车电键时,汽车所有的尾灯点亮。 当接通检查电键时,汽车所有的尾灯同时闪烁(0.5—1S/次)。 二、总体方案选择的论证 为了区分汽车尾灯的4种不同的显示模式,我们设置4个状态控制变量。假定用开关K1、K2、K3、K4进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表1所示。

1 0 1 1 右转弯熄灭 按D4、D5、D6顺序 循环点亮 1 1 0 1 刹车同时点亮同时点亮 1 1 1 0 检查同时闪烁同时闪烁 在汽车左右转弯行驶时由于3 个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3个指示灯。设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量K1、K0,计数器的状态Q1、Q0以及时钟脉冲CP之间关系的功能表如表2所示(表中指示灯的 开关计数器状态汽车尾灯状态 K1 K2 K3 K4 Q1 Q0 D1 D2 D3 D4 D5 D6 0 1 1 1 0 0 0 0 1 0 0 0 0 1 0 1 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 0 1 0 0 0 0 0 0 1 1 1 0 1 - - 1 1 1 1 1 1 1 1 1 0 - - CP CP CP CP CP CP 表 2 汽车尾灯控制器功能表 根据以上设计分析与功能描述,可以得出汽车尾灯控制器的结构框图,如图1所示。

汽车尾灯显示控制电路设计

武汉理工大学《数字电子技术》课程设计报告 学号: 课程设计 题目汽车尾灯显示控制电路设计 学院信息工程学院 专业通信工程 班级通信0805 姓名 指导教师刘建新 2010年 7 月 1日

精品文档 目录 1 摘要 (1) 2设计要求与思路 (2) 2.1设计目的与要求 (2) 2.2设计思路构想 (2) 2.2.1汽车尾灯显示状态与汽车运行状态的关系 (2) 2.2.2汽车尾灯显示控制功能描述 (2) 3 单元电路设计 (4) 3.1 秒脉冲电路的设计 (4) 3.2 开关控制电路的设计 (5) 3.3 三进制计数器电路的设计 (7) 3.4 译码与显示驱动电路的设计 (8) 3.5 尾灯状态显示电路的设计 (10) 4电路仿真与分析 (11) 4.1电路仿真总电路图 (11) 4.2汽车尾灯显示控制电路的工作原理 (11) 4.3各部分仿真结果 (12) 4.4仿真中遇到的问题 (15) 5 元器件清单 (16) 6 设计体会 (16) 7附录 (17) 参考文献 (19)

武汉理工大学《数字电子技术》课程设计报告 1摘要 课程设计作为数字电子技术和模拟电子技术课程的重要组成部分,目的是一方面使我们能够进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养我们的实际动手能力以及分析、解决问题的能力。 本文介绍了一种通过TTL系列产品设计模拟汽车尾灯工作情况电路的方法。主要阐述了如何通过555系列来制作脉冲产生器,如何利用J-K触发器改制三进制的计数器和译码器的使用等一系列方法。实验通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,临时刹车。 关键字:汽车尾灯,脉冲,计数器,译码器,行驶情况 Abstract Curriculum design as a simulation of digital electronic technology and electronic technology an important component of the course, on the one hand, the purpose of enabling us to further understanding of course content, the basic digital system design and debugging methods, applications of integrated circuits to increase knowledge, foster the ability of our hands as well as analysis, problem-solving abilities. This article describes a series of product design through simulation TTL auto taillight circuit methods work. Mainly on how to produce 555 series pulse generator, how to make use of JK flip-flop ternary system decoder of the counters and the use of a range of methods. Experimental simulation of vehicle through the light-emitting diode taillights to achieve a moving car when the four cases: the normal traffic, left turn, right turn, temporary brake. Keywords: auto lamps, pulse, counters, decoders, traffic situation.

数电课程设计《汽车尾灯控制系统》

课程设计报告 设计题目:汽车尾灯控制系统班级:计算机1206班 学号: 2012XXX 姓名: XXX 指导教师:马学文 设计时间: 2014年8月

摘要 在现代飞速发展的现代化社会背景下,汽车这一高科技产物越来越多地被人们使用,但也由此造成了一系列的问题,比如,由于汽车的突然转向所引发的车祸常出现。如果汽车转弯时能够通过尾灯状态的变化来提示司机,行人汽车转弯,就可减少车祸发生。因此,汽车尾灯就起到了一种信号、警示、标志的作用,也是司机在行车途中必须注意的。本次实验报告是关于取车尾灯控制系统的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。整个电路有三进制计数器、译码与显示驱动电路、尾灯状态显示电路、开关控制电路4个部分组成。分析使能控制信号与公模控制变量与时钟脉冲的关系,555定时器、3线—8线译码器实现了根据汽车运行状态指示显示4种不同的状态模式。本次报告详细讲解了该系统的设计思路及其具体的实现过程。 关键词: 计数器、译码器、定时器、时钟脉冲

目录 摘要 2 第1章概述4第2章课程设计任务及要求4 2.1 设计任务 4 2.2 设计要求 4 第3章系统设计4 3.1方案论证 4 3.2 系统设计 5 3.2.1 结构框图及说明 5 3.2.2 系统原理图及工作原理 5 3.3 单元电路设计 6 3.3.1单元电路工作原理 6 3.3.2元件参数选择10 第4章软件仿真11 4.1 仿真电路图11 4.2 仿真过程13 4.2 仿真结果15 第5章安装调试17 5.2 安装调试过程17 5.3 故障分析17 第6章结论18第7章使用仪器设备清单19参考文献19 收获、体会和建议20

汽车尾灯课程设计报告

课程设计报告 课程名称:电子技术课程设计 设计题目:汽车尾灯控制器 专业:电气工程及其自动化 班级: 2009 学号 学生XX:李博 时间:2012 年 2月 27 日~3月 2 日 ―――――――以下指导教师填写―――――分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

课程设计报告要求和成绩评定 1报告基本内容 前言,目录,任务书,正文,参考文献。 2 书写用纸 A4复印纸。 3 书写要求 主要部分手工双面或单面书写(计算机绘图等指定内容可打印),字迹清楚,每页20行左右,每行30字左右,排列整齐;页码居中写在页面下方;纸面上下左右4侧边距均为2厘米。 前言和目录合写作为第一页;参考文献接正文书写,不另起页。 公式单占一行居中书写;插图要有图号和图题,图号和图题书写在插图下方;表格要有表号和表题,表号和表题在表格上方书写;物理量单位和符号、参考文献引用和书写以及图纸绘制要符合有关标准规定;有关细节可参考我院《毕业设计成品规X》。 4 装订 装订顺序:封面,前言和目录,任务书,正文及参考文献,图纸,封底;左边为装订边,三钉装订,中间钉反向装订。 5 成绩评定 课程设计成绩由出勤(10分)、报告书写规X性及成品[注]质量(30分)、答辩及考核(60分)三部分成绩合成后折合为优秀(90-100分)、良好(80-89分)、中(70-79分)、及格(60-69分)或不及格(60分以下)。 注:成品含义由课程设计任务书规定,除课程设计报告外,还可以包括图纸、计算机程序、制作品、实验或测试方案等。

前言 在当今社会中,数字时代已经成为一种现实,并且时刻影响着人们的日常生活,作为数字化的基础——数字电子电路,无疑是至关重要的。数字电路课程设计便是本课程的一种很好的实践,更是加深电子技术理论理解的重要途径,同时有助于培养我们严谨,探索的科学精神。 “汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入与非门74LS00、四输入与非门74LS20、六反相器74LS04、3-8译码器,555定时器及电阻电容进行搭建。综合数字电路和模拟电路的知识,提升了我们理实际解决问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。 在实际的设计过程中得到了尚志刚,苏士美等老师的鼎力相助,谢谢他们的无私的指导,“汽车尾灯控制电路”才得以顺利完成。再次祝他们工作顺利,万事如意。 由于时间紧迫和水平有限,本课程设计报告还存在瑕疵,恳请老师提出指正意见。 作者:李博 2012年3月2日

汽车尾灯设计

课程设计报告 设计题目:汽车尾灯控制的设计 班级:计算机140* 学号:*** 姓名:*** 指导教师:** 设计时间:2016年7月

摘要 本文介绍了使用TTL逻辑门与时序逻辑芯片设计模拟汽车尾灯工作情况电路的方法。 本文主要阐述了CP脉冲产生器的设计,计数器及译码器的使用,以及显示驱动和模式控制电路的设计。所涉及的汽车尾灯控制电路分为控制电路、译码电路、驱动电路、三进制计数器及尾灯状态显示五个模块。 控制电路&尾灯显示状态:本次设计通过六个发光二极管模拟汽车尾灯来实现汽车在行驶时的七种情况:正常行驶,刹车,右转,左转,右转并刹车,左转并刹车,倒车。经三个开关进行控制六个二极管的发光、熄灭及闪烁,以满足设计所需。 此设计涉及使用555定时器组成秒脉冲发生器的设计及计算,使用74LS161计数器进行的任意进制计数器的设计(三进制计数器),73LS138译码器的使用及改造设计,TTL逻辑门电路的设计与化简等方法。 关键词:555,计数器,译码器。 目录

摘要 1 第1章概述 2 第2章课程设计任务及要求 3 2.1 设计任务 3 2.2 设计要求 3 第3章系统设计 4 3.1方案论证 4 3.2 系统设计 4 3.2.1 结构框图及说明 4 3.2.2 系统原理图及工作原理 5 3.3 单元电路设计 6 3.3.1单元电路工作原理 6 3.3.2元件参数选择12 第4章软件仿真14 4.1 仿真电路图14 4.2 仿真过程及结果14 第5章安装调试20 5.1安装调试过程20 5.2故障分析20 第6章结论21 第7章使用仪器设备清单22 参考文献23 收获、体会和建议24 第2章课程设计及任务要求

EDA课程设计(汽车尾灯设计与实现)

EDA课程设计 课题名称:汽车尾灯的设计 院系:信息科学与工程 专业班级: 姓名: 学号: 指导老师: 2013.12.20

目录 摘要..................................................................................................................错误!未定义书签。第1章选题依据 (1) 1.1汽车尾灯的国内外发展现状 (1) 1.2可编程器件的发展 (1) 1.3可编程器件在汽车电子上的运用 (2) 1.4设计内容和目标 (3) EDA设计流程 (3) 第2章EDA、VHDL简介 (4) 2.1EDA技术 (4) 2.1.1EDA技术的概念 (4) 2.1.2EDA技术的特点 (4) 2.1.3EDA设计流程 (4) 2.2硬件描述语言(VHDL) (4) 2.2.1VHDL简介 (4) 2.2.2VHDL语言的特点 (5) 第3章设计实现 (6) 3.1汽车尾灯控制器的工作原理 (6) 3.1.1功能描述 (6) 3.1.2模块设计 (6) (1)汽车尾灯主控制模块 (7) (2)时钟分频模块 (7) (3)左侧尾灯功能模块 (7) (4)右侧尾灯功能模块 (7) 3.1.3图形元件原理图 (8) 3.2主要VHDL源程序 (8) 3.2.1汽车尾灯主控制模块CTRL (8) 3.2.2时钟分频模块SZ (10) 3.2.3右侧尾灯控制模块RC (11) 3.2.4左侧尾灯控制模块LC (13) 3.2.5顶层文件VHDL程序(tp.VHD) (14) 3.3仿真图及块 (16) 3.3.1各模块的仿真波形图 (16) 图3-2汽车尾灯主控制模块CTRL (16) 3.3.2仿真波形分析 (20) 第4章设计总结 (21) 参考文献 (23) II

课程设计报告—汽车尾灯

课程设计任务书 学生姓名:吴舟专业班级:电子科学与技术0801班指导教师:吴友宇工作单位:信息工程学院 题目: 汽车尾灯控制器的电路设计 初始条件: 本设计既可以使用中、小规模集成电路芯片7400、7404、 74138、7476、7486和其它器件实现对汽车尾灯显示的控制功 能。本设计也可以使用单片机系统构建简易频率计。工作电源 Vcc为12V。电路组成框图如图1所示: 图1汽车尾灯控制电路图要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等 具体要求) 1、课程设计工作量:1周。 2、技术要求:设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是: ①汽车正常行驶时,尾灯全部熄灭。 ②当汽车右转弯时,右侧3个指示灯按右循顺序点亮。 ③当汽车左转弯时,左侧3个指示灯按左循顺序点亮。 ④临时刹车时,所有指示灯同时闪烁。 ⑤选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画 出总体电路原理图,阐述基本原理。制作实际运行装置。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2010 年6 月28日集中,作课设具体实施计划与课程设计报告格式的要求说明。 2、2010 年6 月28 日,查阅相关资料,学习电路的工作原理。 2、2010 年6 月29 日至2010年6月29 日,方案选择和电路设计。 2、2010 年6月30 日至2010 年7 月1 日,电路调试和设计说明书撰写。 3、2010 年7 月2 日上交课程设计成果及报告,同时进行答辩。 课设答疑地点:鉴主13楼电子科学与技术实验室。 指导教师签名:年月日系主任(或责任教师)签名:年月日

关于汽车尾灯的课程设计

引言 汽车尾灯是汽车的主要部分,在我们的生活中不可缺少,正是有了它才是我们的交通得以正常进行。现在社会上出现交通事故的比例很高除了自身原因外,汽车尾灯也发挥着主要的作用,尾灯的指示灯按正常指定闪烁将会避免很多事故的发生。汽车尾灯控制电路是数字电路在交通控制电路中的典型应用,在日常生活中有着广泛的应用,本设计基本上模拟了汽车运行过程中的实际状况,一般有左右各三个灯,当人们看到灯不同形式的亮灭闪烁时,就会知道驾驶员的操作意图,即行驶、左转、右转及刹车。本设计将借助Protel 99se和NI Multisim10仿真。

1 设计方案 1.1 汽车尾灯电路设计要求 汽车行驶时有正常行驶、左转、右转和刹车四种情况,设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。 (1)汽车正常运行时指示灯全灭 (2)汽车右转弯时,右侧3个灯按右循环顺序点亮 (3)汽车左转弯时,左侧3个灯按左循环顺序点亮 (4)汽车临时刹车时所有指示灯同时闪烁 1.2 设计原理及原理框图 汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。 首先,设置两个可控的开关,可产生00、01、10、11四种状态。 开关置为00状态时,汽车处于正常行驶状态; 开关置为01状态时,汽车处于右转弯的状态; 开关置为10状态时,汽车处于左转弯的状态; 开关置为11状态时,汽车处于刹车状态。 三进制计数器可由74LS163芯片和74LS00构成;译码电路可用译码器74LS138和6个与非门构成;显示、驱动电路由6个发光二极管和6个反向器构成。 原理框图如图所示: 图1.1 原理框图 2单元电路设计 2.1 时钟脉冲电路 555定时器简介:555定时器的功能主要由两个比较器决定。两个比较器的输出电压控制RS触发电路和放电管的状态。在电源与地之间加上电压,当5脚悬

汽车尾灯控制器设计——完整版

1、Proteus简介 1.1 概述 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PC B设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、H C11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 1.2 具有四大功能模块: 1.2.1 智能原理图设计(ISIS) 丰富的器件库:超过27000种元器件,可方便地创建新元件; 智能的器件搜索:通过模糊搜索可以快速定位所需要的器件; 智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间; 支持总线结构:使用总线器件和总线布线使电路设计简明清晰; 可输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP图纸,可以方便地供WORD、POWERPOINT等多种文档使用。

1.2.2 完善的电路仿真功能(Prospice) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真; 超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件; 多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav 文件)、指数信号、单频FM、数字时钟和码流,还支持文件形式的信号输入; 丰富的虚拟仪器:13种虚拟仪器,面板操作逼真,如示波器、逻辑分析仪、信号发生器、直流电压/电流表、交流电压/电流表、数字图案发生器、频率计/计数器、逻辑探头、虚拟终端、SPI调试器、I2C调试器等; 生动的仿真显示:用色点显示引脚的数字电平,导线以不同颜色表示其对地电压大小,结合动态器件(如电机、显示器件、按钮)的使用可以使仿真更加直观、生动; 高级图形仿真功能(ASF):基于图标的分析可以精确分析电路的多项指标,包括工作点、瞬态特性、频率特性、传输特性、噪声、失真、傅立叶频谱分析等,还可以进行一致性分析; 1.2.3 独特的单片机协同仿真功能(VSM) 支持主流的CPU类型:如ARM7、8051/52、AVR、PIC10/12、PIC16、PIC18、PIC24、dsPIC33、HC11、BasicStamp、8086、MSP430等,CPU类型随着版本升级还在继续增加,如即将支持CORTEX、DSP处理器; 支持通用外设模型:如字符LCD模块、图形LCD模块、LED点阵、LED七段显示模块、键盘/按键、直流/步进/伺服电机、RS232虚拟终端、电子温度计等等,其COMPIM(COM口物理接口模型)还可以使仿真电路通过PC机串口和外部电路实现双向异步串行通信; 实时仿真:支持UART/USART/EUSARTs仿真、中断仿真、SPI/I2C仿真、MSSP 仿真、PSP仿真、RTC仿真、ADC仿真、CCP/ECCP仿真; 编译及调试:支持单片机汇编语言的编辑/编译/源码级仿真,内带8051、AV

相关主题
文本预览
相关文档 最新文档