当前位置:文档之家› 大规模集成电路部分复习题

大规模集成电路部分复习题

大规模集成电路部分复习题
大规模集成电路部分复习题

1:硅集成电路的一些基本类型?

答:1:按工作原理分:数字集成电路,模拟集成电路,数模混合集成电路。

2:按工艺分:双极集成电路,MOS集成电路。

3:按应用分:通用集成电路,专用集成电路。

4:按集成分:LSI(<100),MSI(100~1000),LSI(1000~1000000,VLSI(>100000)。

2:影响MOSFET阈值电压的因素?

答:栅电极材料,栅氧化层厚度和质量,以及衬底掺杂浓度。

3:MOSFET按比例缩小理论的三条方案?

答:恒电场,恒电压,准恒电压

4:电容器?

答:多晶硅覆盖理层扩散区电容器;多晶硅绝缘层多晶硅电容器;

金属绝缘层金属电容器;导电层电容;MOS电容器。

5:电阻器?

答:扩散电阻;阱电阻;多晶硅电阻;有源电阻;无源电阻;

离子注入电阻;MOS管电阻。

6:BTTMOS的优点和缺点?

答:优点:驱动能力强,噪声较小;缺点:阻抗较大。

7:n阱CMOS集成电路的工艺流程和n阱的作用?

答:准备硅片资料——形成n阱——场区隔离——形成多晶硅栅

——源漏区n+/p+注入——形成接触孔——形成金属互联——形成钝化层

n阱的作用:用来做衬底和隔离

8:试比较铜互连和铝互联技术各自的特点?

答:铜:优点:降低互连线寄生电阻,改善互连线一起的性能下降问题;铜比铝熔点高,抗电迁移性能好,具有更高的可靠性。

缺点:铜化学性质活泼,容易扩散,容易污染加工设备。

9:俩种方法描述版图设计规则?

答:以为单位的设计规则;以微米为单位的设计规则。

10:场区氧化的作用是什么?采用LOCOS工艺有什么缺点?更好的隔离方法是?

答:作用:隔离;缺点:虽然已经减小了氧化层的台阶,但芯片表面仍有较大

的不平整,场区隔离是热氧化生产,热氧化所需的高温会对硅片造成损伤,厚

的场区要求隔离面积比较大,影响集成度,另外,在场区氧化过程中,除了纵

向深入硅片内部,还会横向对有源区侵蚀,在有缘区边缘形成类似于鸟嘴形状氧

化层,有源面积减小,引起沟道效应。隔离方法:STI(浅槽隔离技术)。

11:IC设计应用到的软件?

答:Cadeace ,Modelsm Quatus ,Menter ,Synopsys ,Hspice。

12:CMOS电络中存在哪些二级效应?

答:体效应,沟道效应,压阈值效应。

13:CMOS集成电路中SIO2层的主要作用?

答:做MOS晶体管的栅绝缘介质;做杂质扩散和离子注入的掩蔽层和阻挡层;

做MOS晶体管之间的隔离介质;做多晶硅,金属等互连层之间的绝缘介质;

做芯片表面的钝化层。

14:CMOS反相器的NMOS管和PMOS管是增强型还是耗尽型?为什么?

答:增强型,因为耗尽型没有加电压时,就有沟道,容易击穿MOS管。

15:体效应:衬底电压变化造成反型层厚度变化(衬底偏置)

16:SPICE四种模型:Lever=1 shichman-hoclges模型;

Lever=2 基本几何图形的解析模型;

Lever=3 半径验短沟道效应模型;

Lever=4 BSIM3V3模型。

17:寄生效应将对CMOS集成电路性能带来严重影响?

答:第一:增加电路的延迟时间;第二:造成信号损失,破坏信号完整性;第三:引入噪声,影响电路电性能和可靠性。

18:互连线寄生电阻对电路性能的影响?

答:第一:引起连线的RC延迟;第二:连线上IR压降造成信号损失。

19:改善RC延迟方法?

答:1:减少互连线长度Ricl,可采用多层互连优化设计,避免长互连线。

2:减少互连线方块电阻Ro,选用电阻率低的铜代替铝互连。

3:减少隔离层相对介电常数,选用低K材料(介电常数低)做互隔离介质连线。

20:反相器直流噪声容限:电路中允许输入电平变化范围

增大CMOS电路噪声容限:提高NMOS和PMOS对称性。

21:光刻和刻蚀步骤?

答:1:在硅表面上均抹一层光刻胶

2:用淹摸拟状盖在涂好胶的硅片上,进行光照,使淹模版上亮的区域对座光刻胶曝光;3:通过物理和化学方法把未被曝光的胶去掉,显影后淹摸版上的图形就转移到光刻胶上;4:采用化学溶液或者反应离子刻蚀去除没有光刻胶保护的SIO2;

5:去除残留在硅片上的所有光刻胶,完成版图图形到硅片图形的转移。

22:STI:Shallow T rench Isolation 浅槽隔离技术优点?

答:优点:占用面积小,有利于集成密度,不会形成鸟嘴,避免乍沟道效应

23:PVD:蒸发法和溅射法:

答:蒸发法:是指在真空系统中,金属原子获得足够能量后脱离金属表面成为蒸汽原子,在其运动过程中遇到硅片,最后淀积在硅片上的形成薄膜;

溅射法:是指在真空系统中充入惰性气体,由于高压电场作用,惰性气体放电形成离子,这些离子在强电场作用下加速,然后轰击金属靶材料,使靶材料原子逸出并被溅射到硅片表面,形成金属薄膜。

24:CVD:使含有待淀积材料的化合物升华为气体,与另一种气体或化合物在一个高温反应室中进行反应,生成固态的淀积物质,使之淀积在加热至高温的衬底上,生成薄膜。CVD特点:工作温度低,薄膜成分和厚度容易控制,均匀性和重复性好,设备简单等CVD相比PVD最大的优点在于台阶覆盖优良。

26:先进CMOS工艺:

答:浅槽隔离技术;外延双阱技术;沟道掺杂技术;n+/p+硅栅技术;SDI技术;Salicide 技术;铜互连技术。

27:SOC:System On Chip 系统芯片?

答:优点:集成度高,整机性能优,功能强大;缺点:设计周期长成本代价高。

28:SIP:System-in-package 系统级封装?优点:设计周期短易实现,缺点:设计周期长成本代价高。

与SOC相比优点:1:可采用市售高用电子元件,降低产品制造技术;2:上市周期短,风险小;3:可灵活采用混合组合装技术安装分类无源元件;4:可采用混合设计技术分制设计SIP中不同组件;5:可互相推叠,极大地提高了封装密度,节省封装基板面积;6:可避免大量分立元件。

29:基于设计抽象层次化方法?

答:系统级——行为级——RTL级——逻辑级——电路级——版图级;

30:全定制方法(自低向上方法):缺点:设计周期长和较高设计成本,工作量大

优点:精度高

半定制方法(自顶向下):优点:大幅度提高效率,适合于VLSI设计。

31:全定制设计方法流程:书上P157

32:反相器直流电压传输特性:

33:集成电路设计方法分类:书上P1596

34:画版图和棍棒图,还有网表!!!

36:名词解释

1:TTL:T ransistor-T ransistor Logic 晶体管-晶体管逻辑

2:MOSFET:Metal Oxide Semiconductor Field Effect T ransistor 金属-氧化物-半导体场效应晶体管

3:CMOS:Complementary Metal Oxide Semiconductor 互补MOS

4:LSI:Large Scale Integration 大规模集成

5:DIC:Digital Integrated Circuit 数字集成电路

6: AIC:Analog Integrated Circuit 模拟集成电路

7: SIC:S tandard Integrated Circuit 标准集成电路

8: ASIC:Application Specific Integrated Circuit 专用集成电路

9: SSI:Small Scale Integration 小规模集成

10:MIS:Middle Scale Integration 中规模集成

11:LSI:Large Scale Integration 大规模集成

12:VLSI:V ery Large Scale Integration 超大规模集成

13:CVD:Chemical Vapor Deposition 化学气相淀积

14:MIS:Metal-Insulator-Semiconductor 金属-绝缘层-半导体

15:NWE:Narrow-Width Effect 沟道效应

16:PCB:Printed Circuit Board 印制电路板

17:ICL:Interconnection Ling 互连线

18:IP:Intelligent Property

19:SDE:Source-Drain Extension 源-漏延伸区

20:SCBE:Substrate Current induced Body Effect 衬底电流感生体效应

21:CE:Constant Electrical-field恒定电场

22:CV:Constant Voltage 恒定电压

23:CA:Copper age 铜线时代

24:CAD:Computer Aided Design 计算机辅助设计

25:CAE:Computer Aided Engineering 计算机辅助工程

26:DRAM:Dynamic Random Access Memory 动态随机存取存储器

27:ECL:Emitter-Coupled Logic 发射极耦合逻辑

28:EDA:Electronic Design Automatic 电子设计自动化

29:FM:flash memory 闪存器

21:HLS:High Level Synthesis 高层次综合

22:HDL:Hardware Description Language 硬件描述语言

23:IEDM:International Electron Devices Meeting 国际电子器件会议

24:ITRS:International T echnology Roadmap for Semiconductor 半导体技术发展路线图

25:LOCOS:Local Oxidation of Silicon 硅的局部氧化

26:MPU:Micro Processor Unit 微处理器

27:Micro-Elector-Mechanical System 微机电系统

28:MIM:Metal-Isolation-Metal 金属绝缘层金属

29:NEMS:Nano-Elector-Mechanical System 纳机电系统

30:PIP:Poly-Isolation-Poly 多晶硅绝缘层多晶硅

31:PLD:Programmable Logic Device 可编程逻辑器件

32:PVD:Physical Vapor Deposition 物理气相淀积

33:QCE:Quasi Constant Electrical-field 准恒定电场

34:SOI:Silicon On Insulator

35:SOC:System On Chip 系统芯片

36:STI:Shallow T rench Isolation 浅槽隔离STI:Shallow T rench Isolation 浅槽隔离技术技术

37:ULSI:Ultra Large Scale Integration 特大规模集成

39:UTB:Ultra Thin Body 超薄体

40:VTL:Voltage T ransfer Characteristics 直流电源传输特性

《半导体集成电路》期末考试试题库..

第一部分考试试题 第0章绪论 1.什么叫半导体集成电路? 2.按照半导体集成电路的集成度来分,分为哪些类型,请同时写出它们对应的英文缩写? 3.按照器件类型分,半导体集成电路分为哪几类? 4.按电路功能或信号类型分,半导体集成电路分为哪几类? 5.什么是特征尺寸?它对集成电路工艺有何影响? 6.名词解释:集成度、wafer size、die size、摩尔定律? 第1章集成电路的基本制造工艺 1.四层三结的结构的双极型晶体管中隐埋层的作用? 2.在制作晶体管的时候,衬底材料电阻率的选取对器件有何影响?。 3.简单叙述一下pn结隔离的NPN晶体管的光刻步骤? 4.简述硅栅p阱CMOS的光刻步骤? 5.以p阱CMOS工艺为基础的BiCMOS的有哪些不足? 6.以N阱CMOS工艺为基础的BiCMOS的有哪些优缺点?并请提出改进方法。 7. 请画出NPN晶体管的版图,并且标注各层掺杂区域类型。 8.请画出CMOS反相器的版图,并标注各层掺杂类型和输入输出端子。 第2章集成电路中的晶体管及其寄生效应 1.简述集成双极晶体管的有源寄生效应在其各工作区能否忽略?。 2.什么是集成双极晶体管的无源寄生效应? 3. 什么是MOS晶体管的有源寄生效应?

4. 什么是MOS晶体管的闩锁效应,其对晶体管有什么影响? 5. 消除“Latch-up”效应的方法? 6.如何解决MOS器件的场区寄生MOSFET效应? 7. 如何解决MOS器件中的寄生双极晶体管效应? 第3章集成电路中的无源元件 1.双极性集成电路中最常用的电阻器和MOS集成电路中常用的电阻都有哪些? 2.集成电路中常用的电容有哪些。 3. 为什么基区薄层电阻需要修正。 4. 为什么新的工艺中要用铜布线取代铝布线。 5. 运用基区扩散电阻,设计一个方块电阻200欧,阻值为1K的电阻,已知耗散功率为20W/c㎡,该电阻上的压降为5V,设计此电阻。 第4章TTL电路1.名词解释 电压传输特性开门/关门电平逻辑摆幅过渡区宽度输入短路电流输入漏电流 静态功耗瞬态延迟时间瞬态存储时间瞬态上升时间瞬态下降时间瞬时导通时间 2. 分析四管标准TTL与非门(稳态时)各管的工作状态? 3. 在四管标准与非门中,那个管子会对瞬态特性影响最大,并分析原因以及带来那些困难。 4. 两管与非门有哪些缺点,四管及五管与非门的结构相对于两管与非门在那些地方做了改善,并分析改善部分是如何工作的。四管和五管与非门对静态和动态有那些方面的改进。

集成电路设计基础_期末考试题

集成电路设计基础 2010-11年第一学期试题 一、填空题(20分) 1、目前,国内已引进了12英寸0.09um 芯片生产线,由此工艺线生产出来的集成 电路特征尺寸是0.009um (大 小),指的是右图中的W (字 母)。 2、CMOS工艺可分为p阱、n阱、双阱 三种。 在CMOS工艺中,N阱里形成的晶体管是p (PMOS,NMOS)。 3、通常情况下,在IC中各晶体管之间是由场氧来隔离的;该区域的形成用到的制造工艺是氧化工艺。 4.集成电路制造过程中,把掩膜上的图形转换成晶圆上器件结构一道工序是指光 刻,包括晶圆涂光刻胶、曝光、显影、烘干四个步骤; 其中曝光方式包括①接触式、②非接触式两种。 5、阈值电压V T是指将栅极下面的si表面从P型Si变成N型Si所必要的电压,根据阈值电压的不同,常把MOS区间分成耗尽型、增强型两种。降低V T 的措施包括:降低杂质浓度、增大Cox 两种。 二、名词解释(每词4分,共20分) ①多项目晶圆(MPW) ②摩尔定律 ③掩膜 ④光刻

⑤外延 三、说明(每题5分共10分) ①说明版图与电路图的关系。 ②说明设计规则与工艺制造的关系。 四、简答与分析题(10分) 1、数字集成电路设计划分为三个综合阶段,高级综合,逻辑综合,物理综合;解释这 三个综合阶段的任务是什么? 2、分析MOSFET尺寸能够缩小的原因。 五、综合题(共4小题,40分) 1、在版图的几何设计规则中,主要包括各层的最小宽度、层与层之间的最小间距、各 层之间的最小交叠。把下图中描述的与多晶硅层描述的有关规则进行分类: (2)属于层与层之间的最小间距的是: (3)属于各层之间的最小交叠是: 2.请提取出下图所代表的电路原理图。画出用MOSFET构成的电路。

集成电路试题库

半导体集成电路典型试题 绪论 1、什么叫半导体集成电路? 【答案:】 通过一系列的加工工艺,将晶体管,二极管等有源器件和电阻,电容等无源元件,按一定电路互连。 集成在一块半导体基片上。封装在一个外壳内,执行特定的电路或系统功能。 2、按照半导体集成电路的集成度来分,分为哪些类型,请同时写出它们对应的英文缩写 【答案:】 小规模集成电路(SSI),中规模集成电路(MSI),大规模集成电路(VSI),超大规模集成电路(VLSI),特大规模集成电路(ULSI),巨大规模集成电路(GSI) 3、按照器件类型分,半导体集成电路分为哪几类? 【答案:】 双极型(BJT)集成电路,单极型(MOS)集成电路,Bi-CMOS型集成电路。 4、按电路功能或信号类型分,半导体集成电路分为哪几类? 【答案:】 数字集成电路,模拟集成电路,数模混合集成电路。 5、什么是特征尺寸?它对集成电路工艺有何影响? 【答案:】 集成电路中半导体器件的最小尺寸如MOSFET的最小沟道长度。是衡量集成电路加工和设计水平的重要标志。它的减小使得芯片集成度的直接提高。 6、名词解释:集成度、wafer size、die size、摩尔定律? 【答案:】 7、分析下面的电路,指出它完成的逻辑功能,说明它和一般动态组合逻辑电路的不同,分析它的工作原理。 【答案:】

该电路可以完成NAND逻辑。与一般动态组合逻辑电路相比,它增加了一个MOS管M kp,它可以解决一般动态组合逻辑电路存在的电荷分配的问题。对于一般的动态组合逻辑电路,在评估阶段,A=“H” B=“L”, 电荷被OUT处和A处的电荷分配,整体的阈值下降,可能导致OUT的输出错误。 该电路增加了一个MOS管M kp,在预充电阶段,M kp导通,对C点充电到V dd。在评估阶段,M kp 截至,不影响电路的正常输出。 8、延迟时间 【答案:】 时钟沿与输出端之间的延迟 第1章集成电路的基本制造工艺 1、四层三结的结构的双极型晶体管中隐埋层的作用 【答案:】 减小集电极串联电阻,减小寄生PNP管的影响 2、在制作晶体管的时候,衬底材料电阻率的选取对器件有何影响 【答案:】 电阻率过大将增大集电极串联电阻,扩大饱和压降,若过小耐压低,结电容增大,且外延时下推大 3、简单叙述一下pn结隔离的NPN晶体管的光刻步骤 【答案:】 第一次光刻:N+隐埋层扩散孔光刻 第二次光刻:P隔离扩散孔光刻 第三次光刻:P型基区扩散孔光刻 第四次光刻:N+发射区扩散孔光刻 第五次光刻:引线孔光刻

(完整版)集成电路设计复习题及解答

集成电路设计复习题 绪论 1.画出集成电路设计与制造的主要流程框架。 2.集成电路分类情况如何? 集成电路设计 1.层次化、结构化设计概念,集成电路设计域和设计层次 2.什么是集成电路设计?集成电路设计流程。 (三个设计步骤:系统功能设计逻辑和电路设计版 图设计) 3.模拟电路和数字电路设计各自的特点和流程 4.版图验证和检查包括哪些内容?如何实现? 5.版图设计规则的概念,主要内容以及表示方法。为什么需要指定版图设计规则? 6.集成电路设计方法分类? (全定制、半定制、PLD) 7.标准单元/ 门阵列的概念,优点/缺点,设计流程 8.PLD设计方法的特点,FPGA/CPLD的概念 9.试述门阵列和标准单元设计方法的概念和它们之间的异同点。 10.标准单元库中的单元的主要描述形式有哪些?分别在IC设计的什么阶段应用? 11.集成电路的可测性设计是指什么? Soc设计复习题 1. 什么是SoC? 2. SoC设计的发展趋势及面临的挑战? 3. SoC设计的特点? 4. SoC设计与传统的ASIC设计最大的不同是什么? 5. 什么是软硬件协同设计? 6. 常用的可测性设计方法有哪些? 7. IP 的基本概念和IP分类 8. 什么是可综合RTL代码? 9. 么是同步电路,什么是异步电路,各有什么特点? 10. 逻辑综合的概念。 11. 什么是触发器的建立时间( Setup Time ),试画图进行说明。 12. 什么是触发器的保持时间( Hold Time ),试画图进行说明。 13. 什么是验证,什么是测试,两者有何区别? 14. 试画图简要说明扫描测试原理。

绪论 1、画出集成电路设计与制造的主要流程框架。 2、集成电路分类情况如何? 双极型 数字模拟混合电路按应用领域分类 集成电路设计 1.层次化、结构化设计概念,集成电路设计域和设计层次分层分级设计和模块化设计.将一个复杂的集成电路系统的设计问题分解为复杂性较低的设计级别,集成电路MSI 按规模分 LSI 类VLSI ULSI GSI 数字电 按功能分类模拟电 路组合逻辑电路 路时序逻辑电路 路线性电路 路非线性电路 单片集成 按结构分类 混合集 成 SSI PMOS 电M路OS 型NMOS CMOS B iMOS B iMOS 型 B iCMOS 电 厚路膜混合集 成 电路 薄路膜混合集 成 电路

《超大规模集成电路设计》考试习题(含答案)完整版分析

1.集成电路的发展过程经历了哪些发展阶段?划分集成电路的标准是什么? 集成电路的发展过程: ?小规模集成电路(Small Scale IC,SSI) ?中规模集成电路(Medium Scale IC,MSI) ?大规模集成电路(Large Scale IC,LSI) ?超大规模集成电路(Very Large Scale IC,VLSI) ?特大规模集成电路(Ultra Large Scale IC,ULSI) ?巨大规模集成电路(Gigantic Scale IC,GSI) 划分集成电路规模的标准 2.超大规模集成电路有哪些优点? 1. 降低生产成本 VLSI减少了体积和重量等,可靠性成万倍提高,功耗成万倍减少. 2.提高工作速度 VLSI内部连线很短,缩短了延迟时间.加工的技术越来越精细.电路工作速度的提高,主要是依靠减少尺寸获得. 3. 降低功耗 芯片内部电路尺寸小,连线短,分布电容小,驱动电路所需的功率下降. 4. 简化逻辑电路 芯片内部电路受干扰小,电路可简化. 5.优越的可靠性 采用VLSI后,元件数目和外部的接触点都大为减少,可靠性得到很大提高。 6.体积小重量轻 7.缩短电子产品的设计和组装周期 一片VLSI组件可以代替大量的元器件,组装工作极大的节省,生产线被压缩,加快了生产速度. 3.简述双阱CMOS工艺制作CMOS反相器的工艺流程过程。 1、形成N阱 2、形成P阱 3、推阱 4、形成场隔离区 5、形成多晶硅栅 6、形成硅化物 7、形成N管源漏区 8、形成P管源漏区 9、形成接触孔10、形成第一层金属11、形成第一层金属12、形成穿通接触孔13、形成第二层金属14、合金15、形成钝化层16、测试、封装,完成集成电路的制造工艺 4.在VLSI设计中,对互连线的要求和可能的互连线材料是什么? 互连线的要求 低电阻值:产生的电压降最小;信号传输延时最小(RC时间常数最小化) 与器件之间的接触电阻低 长期可靠工作 可能的互连线材料 金属(低电阻率),多晶硅(中等电阻率),高掺杂区的硅(注入或扩散)(中等电阻率)

集成电路分析期末复习总结要点

集成电路分析 集成工业的前后道技术:半导体(wafer)制造企业里面,前道主要是把mos管,三极管作到硅片上,后道主要是做金属互联。 集成电路发展:按规模划分,集成电路的发展已经历了哪几代? 参考答案: 按规模,集成电路的发展已经经历了:SSI、MSI、LSI、VLSI、ULSI及GSI。它的发展遵循摩尔定律 解释欧姆型接触和肖特基型接触。 参考答案: 半导体表面制作了金属层后,根据金属的种类及半导体掺杂浓度的不同,可形成欧姆型接触或肖特基型接触。 如果掺杂浓度比较低,金属和半导体结合面形成肖特基型接触。 如果掺杂浓度足够高,金属和半导体结合面形成欧姆型接触。 、集成电路主要有哪些基本制造工艺。 参考答案: 集成电路基本制造工艺包括:外延生长,掩模制造,光刻,刻蚀,掺杂,绝缘层形成,金属层形成等。 光刻工艺: 光刻的作用是什么?列举两种常用曝光方式。 参考答案: 光刻是集成电路加工过程中的重要工序,作用是把掩模版上的图形转换成晶圆上的器件结构。 曝光方式:接触式和非接触式 25、简述光刻工艺步骤。 参考答案: 涂光刻胶,曝光,显影,腐蚀,去光刻胶。 26、光刻胶正胶和负胶的区别是什么? 参考答案: 正性光刻胶受光或紫外线照射后感光的部分发生光分解反应,可溶于显影液,未感光的部分显影后仍然留在晶圆的表面,它一般适合做长条形状;负性光刻胶的未感光部分溶于显影液

中,而感光部分显影后仍然留在基片表面,它一般适合做窗口结构,如接触孔、焊盘等。常规双极型工艺需要几次光刻?每次光刻分别有什么作用? 参考答案: 需要六次光刻。第一次光刻--N+隐埋层扩散孔光刻;第二次光刻--P+隔离扩散孔光刻 第三次光刻--P型基区扩散孔光刻;第四次光刻--N+发射区扩散孔光刻;第五次光刻--引线接触孔光刻;第六次光刻--金属化内连线光刻 掺杂工艺: 掺杂的目的是什么?举出两种掺杂方法并比较其优缺点。 参考答案: 掺杂的目的是形成特定导电能力的材料区域,包括N型或P型半导体区域和绝缘层,以构成各种器件结构。 掺杂的方法有:热扩散法掺杂和离子注入法掺杂。与热扩散法相比,离子注入法掺杂的优点是:可精确控制杂质分布,掺杂纯度高、均匀性好,容易实现化合物半导体的掺杂等;缺点是:杂质离子对半导体晶格有损伤,这些损伤在某些场合完全消除是无法实现的;很浅的和很深的注入分布都难以得到;对高剂量的注入,离子注入的产率要受到限制;一般离子注入的设备相当昂贵, 试述PN结的空间电荷区是如何形成的。 参考答案: 在PN结中,由于N区中有大量的自由电子,由P区扩散到N区的空穴将逐渐与N区的自由电子复合。同样,由N区扩散到P区的自由电子也将逐渐与P区内的空穴复合。于是在紧靠接触面两边形成了数值相等、符号相反的一层很薄的空间电荷区,称为耗尽层。简述CMOS工艺的基本工艺流程(以1×poly,2×metal N阱为例)。 参考答案: 形成N阱区,确定nMOS和pMOS有源区,场和栅氧化,形成多晶硅并刻蚀成图案,P+扩散,N+扩散,刻蚀接触孔,沉淀第一金属层并刻蚀成图案,沉淀第二金属层并刻蚀成图案,形成钝化玻璃并刻蚀焊盘。 表面贴装技术:电子电路表面组装技术(Surface Mount Technology,SMT), 称为表面贴装或表面安装技术。它是一种将无引脚或短引线表面组装元器件(简称SMC/SMD,中文称片状元器件)安装在印制电路板(Printed Circuit Board,PCB)的表面或其它基板的表面上,通过再流焊或浸焊等方法加以焊接组装的电路装连技术。[1]工艺流程简化为:印刷-------贴片-------焊接-------检修 有源区和场区:有源区:硅片上做有源器件的区域。(就是有些阱区。或者说是采用STI等隔离技术,隔离开的区域)。有源区主要针对MOS而言,不同掺杂可形成n或p型有源区。有源区分为源区和漏区(掺杂类型相同)在进行互联

集成电路设计练习题

集成电路设计练习题2009 1、说明一个半导体集成电路成本的组成。 2、简述CMOS工艺流程。简述CMOS集成电路制造的过程中需要重复进行的工艺步骤。 3、描述你对集成电路工艺的认识。列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么?简述CMOS工艺技术的发展趋势。 4、你知道的集成电路设计的表达方式有哪几种? 5、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx 其中,x为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数。电源电压为3~5v 假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。 6、请谈谈对一个系统设计的总体思路。针对这个思路,你觉得应该具备哪些方面的知识? 7、描述你对集成电路设计流程的认识。 8、集成电路前端设计流程,后端设计流程,相关的工具。 9、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool. 10、简述FPGA等可编程逻辑器件设计流程。 11、简述半定制数字电路的设计流程。 12、简要说明并比较数字集成电路几种不同的实现方法。 13、什么是集成电路的设计规则。 14、同步电路和异步电路的区别是什么? 15、画出CMOS电路的晶体管级电路图,实现Y=AB+C(D+E) 16、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管还是N 管,为什么? 17、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求? 18、名词解释:VLSI, CMOS, EDA, VHDL, DRC, LVS, DFT, STA 19、画出CMOS与非门的电路,并画出波形图简述其功能。

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

中南大学大规模集成电路考试及答案合集

中南大学大规模集成电路考试及答案合集

————————————————————————————————作者:————————————————————————————————日期:

---○---○ --- 学 院 专业班级 学 号 姓 名 ………… 评卷密封线 ……………… 密封线内不要答题,密封线外不准填写考生信息,违者考试成绩按0分处理 ……………… 评卷密封 中南大学考试试卷 时间110分钟 题 号 一 二 三 合 计 得 分 评卷人 2013 ~2014 学年一学期大规模集成电路设计课程试题 32 学时,开卷,总分100分,占总评成绩70 % 一、填空题(本题40分,每个空格1分) 1. 所谓集成电路,是指采用 ,把一个电路中 所需的二极管、 、电阻、电容和电感等元件连同它们之间的电气连线在一块或几块很小的 或介质基片上一同制作出来,形成完整电路,然后 在一个管壳内,成为具有特定电路功能的微型结构。 2. 请写出以下与集成电路相关的专业术语缩写的英文全称: ASIC : ASSP : LSI : 3. 同时减小 、 与 ,可在保持漏源间电流不变的前提下减小器件面积,提高电路集成度。因此,缩短MOSFET 尺寸是VLSI 发展的趋势。 4. 大规模集成电路的设计流程包括:需求分析、 设计、体系结构设计、功能设计、 设计、可测性设计、 设计等。 5. 需求规格详细描述系统顾客或用户所关心的内容,包括 及必须满足的 。系统规格定义系统边界及系统与环境相互作用的信息,在这个规格中,系统以 的方式体现出来。 6. 根据硬件化的目的(高性能化、小型化、低功耗化、降低成本、知识产权保护等)、系统规模/性能、 、 、 等确定实现方法。 7. 体系结构设计的三要素为: 、 、 。 8. 高位综合是指从 描述自动生成 描述的过程。与人工设计相比,高位综合不仅可以尽可能地缩短 ,而且可以生成在面积、性能、功耗等方面表现出色的电路。 9. 逻辑综合就是将 变换为 ,根据 或 进行最优化,并进行特定工艺单元库 的过程。 10. 逻辑综合在推断RTL 部品时,将值的变化通过时钟触发的信号推断为 , 得 分 评卷人

集成电路CMOS题库

一、选择题 1、Gordon Moore 在1965年预言:每个芯片上晶体管得数目将每个月翻一番。 (B ) A 、12 B 、18 C 、20 D 、24 2.MOS 管得小信号输出电阻就是由MOS 管得效应产生得。 (C ) A.体 B 、衬偏 C 、沟长调制 D 、亚阈值导通 3.在CMOS 模拟集成电路设计中,我们一般让MOS 管工作在区。 (D ) A 、亚阈值区 B 、深三极管区 C 、三极管区 D 、饱与区 4、MOS 管一旦出现现象,此时得MOS 管将进入饱与区。 (A ) A 、夹断 B 、反型 C 、导电 D 、耗尽 5、表征了MOS 器件得灵敏度。 (C ) A 、o r B 、b m g C 、m g D 、ox n c u 6.Cascode 放大器中两个相同得NMOS 管具有不相同得。 (B ) A 、o r B 、b m g C 、m g D 、ox n c u 7.基本差分对电路中对共模增益影响最显著得因素就是。 (C ) A 、尾电流源得小信号输出阻抗为有限值 B 、负载不匹配 C 、输入MOS 不匹配 D 、电路制造中得误差 8.下列电路不能能使用半边电路法计算差模增益。 ( C ) A.二极管负载差分放大器 B 、电流源负载差分放大器 C 、有源电流镜差分放大器 D 、Cascode 负载Casocde 差分放大器 9、镜像电流源一般要求相同得。 ( D )A 、制造工艺 B 、器件宽长比 C 、器件宽度W D 、器件长度L 10、 NMOS 管得导电沟道中依靠导电。 ( ) A.电子 B 、空穴 C 、正电荷 D 、负电荷 11、下列结构中密勒效应最大得就是。 (A ) A 、共源级放大器 B 、源级跟随器 C 、共栅级放大器 D 、共源共栅级放大器 12.在NMOS 中,若0V sb 会使阈值电。 (A )

超大规模集成电路课程论文

超大规模集成电路课程论文题目:超大规模集成电路的设计方法和应用实例 院系: 专业: 年级: 学号: 姓名: 指导老师: 完成时间:

超大规模集成电路的设计方法和应用实例 作者:陈茜 指导老师:张婧婧(湖北文理学院,物理与电子工程学院) 摘要:本文在概述超大规模集成电路设计方法上,系统地论述了各种设计集成电路的方法,讨论了全定制法、定制法、半定制法以及可编程逻辑器件和逻辑单元阵列设计方法的特点和适用范围。 关键词:全定制法定制法半定制法 引言:自1959年以来,集成电路技术发生了惊人的变化。第一个设计出来的集成电路只有四个晶体管,而三十年以后的今天,在1989年,一个芯片上集成的晶体管数目已超过一千万个。集成电路经历了SSI、MSI、LSI、VLSL阶段,目前已开始进入特大规模集成电路ULSI (Ultra Large Scale Integration)阶段。 随着集成技术的发展和集成度迅速提高,集成电路芯片的设计越来越复杂,原有的传统方法——手工画图、刻红膜的方法已无法适应,急需在设计方法与设计工具方面来一个大的变革。这就是经常谈论的“设计革命”。各种设计方法学的诞生正是为了适应这种要求。 一个集成系统的设计就是在保证产品质量的前提下,正确的选择器件结构、电路形式、工艺方案和设计规则,同时要尽可能地减小芯片尺寸,降低设计成本和缩小设计周期。 2设计方法分类 目前集成电路已渗透到各个应用领域。它的品种从微处理器、数字式电话一直到电子玩具和家用电器。由于品种的不同,在性能和价格上有很大差别,因而实现各种设计的方法和手段也有所不同。总的来讲,我们希望能在尽可能短的时间内用最低的成本来获得最佳的设计指标,而所用的芯片面积又是最小的。但实际上要全面达到这种要求是很困难的,只能进行某种折衷。根据不同的设计要求,现有的各种设计方法可归纳如下: 2.1 全定制设计方法(Full-Custom Design Approach) 它适用于要求得到最高速度、最低功耗和最省面积的设计芯片设计。这种方法通常采用 随机逻辑网络,因为它能满足上述要求。但版图设计通常需要人来不断完善,以便把每个器件及内连接安排得最紧凑、最合适,又由于全定制设计是基于晶体管级的芯片设计,从管子的尺寸、安放位置及管子间互连着手设计,因而设计时花费的人工最多,周期最长,比较适

模拟集成电路设计期末试卷..

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

集成电路工艺原理试题总体答案资料

目录 一、填空题(每空1分,共24分) (1) 二、判断题(每小题1.5分,共9分) (1) 三、简答题(每小题4分,共28分) (2) 四、计算题(每小题5分,共10分) (4) 五、综合题(共9分) (5) 一、填空题(每空1分,共24分) 1.制作电阻分压器共需要三次光刻,分别是电阻薄膜层光刻、高层绝缘层光刻和互连金属层光刻。 2.集成电路制作工艺大体上可以分成三类,包括图形转化技术、薄膜制备技术、掺杂技术。 3.晶体中的缺陷包括点缺陷、线缺陷、面缺陷、体缺陷等四种。 4.高纯硅制备过程为氧化硅→粗硅→ 低纯四氯化硅→ 高纯四氯化硅→ 高纯硅。 5.直拉法单晶生长过程包括下种、收颈、放肩、等径生长、收尾等步骤。 6.提拉出合格的单晶硅棒后,还要经过切片、研磨、抛光等工序过程方可制备出符合集成电路制造要求的硅衬底 片。 7.常规的硅材料抛光方式有:机械抛光,化学抛光,机械化学抛光等。 8.热氧化制备SiO2的方法可分为四种,包括干氧氧化、水蒸汽氧化、湿氧氧化、氢氧合成氧化。 9.硅平面工艺中高温氧化生成的非本征无定性二氧化硅对硼、磷、砷(As)、锑(Sb)等元素具有掩蔽作用。 10.在SiO2内和Si- SiO2界面存在有可动离子电荷、氧化层固定电荷、界面陷阱电荷、氧化层陷阱等电荷。 11.制备SiO2的方法有溅射法、真空蒸发法、阳极氧化法、热氧化法、热分解淀积法等。 12.常规平面工艺扩散工序中的恒定表面源扩散过程中,杂质在体内满足余误差函数分布。常规平面工艺扩散工序中的有限表 面源扩散过程中,杂质在体内满足高斯分布函数分布。 13.离子注入在衬底中产生的损伤主要有点缺陷、非晶区、非晶层等三种。 14.离子注入系统结构一般包括离子源、磁分析器、加速管、聚焦和扫描系统、靶室等部分。 15.真空蒸发的蒸发源有电阻加热源、电子束加热源、激光加热源、高频感应加热蒸发源等。 16.真空蒸发设备由三大部分组成,分别是真空系统、蒸发系统、基板及加热系统。 17.自持放电的形式有辉光放电、弧光放电、电晕放电、火花放电。 18.离子对物体表面轰击时可能发生的物理过程有反射、产生二次电子、溅射、注入。 19.溅射镀膜方法有直流溅射、射频溅射、偏压溅射、磁控溅射(反应溅射、离子束溅射)等。 20.常用的溅射镀膜气体是氩气(Ar),射频溅射镀膜的射频频率是13.56MHz。 21.CVD过程中化学反应所需的激活能来源有?热能、等离子体、光能等。 22.根据向衬底输送原子的方式可以把外延分为:气相外延、液相外延、固相外延。 23.硅气相外延的硅源有四氯化硅(SiCl4)、三氯硅烷(SiHCl3)、二氯硅烷(SiH2Cl2)、硅烷(SiH4)等。 24.特大规模集成电路(ULIC)对光刻的基本要求包括高分辨率、高灵敏度的光刻胶、低缺陷、精密的套刻对准、对大尺寸硅片 的加工等五个方面。 25.常规硅集成电路平面制造工艺中光刻工序包括的步骤有涂胶、前烘、曝光、显影、坚膜、腐蚀、 去胶等。 26.光刻中影响甩胶后光刻胶膜厚的因素有溶解度、温度、甩胶时间、转速。 27.控制湿法腐蚀的主要参数有腐蚀液浓度、腐蚀时间、腐蚀液温度、溶液的搅拌方式等。 28.湿法腐蚀Si所用溶液有硝酸-氢氟酸-醋酸(或水)混合液、KOH溶液等,腐蚀SiO2常用的腐蚀剂是HF溶液,腐蚀 Si3N4常用的腐蚀剂是磷酸。 29.湿法腐蚀的特点是选择比高、工艺简单、各向同性、线条宽度难以控制。 30.常规集成电路平面制造工艺主要由光刻、氧化、扩散、刻蚀、离子注入(外延、CVD、PVD)等工 艺手段组成。 31.设计与生产一种最简单的硅双极型PN结隔离结构的集成电路,需要埋层光刻、隔离光刻、基区光刻、发射区光刻、引线区 光刻、反刻铝电极等六次光刻。 32.集成电路中隔离技术有哪些类? 二、判断题(每小题1.5分,共9分) 1.连续固溶体可以是替位式固溶体,也可以是间隙式固溶体(×) 2.管芯在芯片表面上的位置安排应考虑材料的解理方向,而解理向的确定应根据定向切割硅锭时制作出的定位面为依据。(√) 3.当位错线与滑移矢量垂直时,这样的位错称为刃位错,如果位错线与滑移矢量平行,称为螺位错(√) 4.热氧化过程中是硅向二氧化硅外表面运动,在二氧化硅表面与氧化剂反应生成二氧化硅。(×) 5.热氧化生长的SiO2都是四面体结构,有桥键氧、非桥键氧,桥键氧越多结构越致密,SiO2中有离子键成份,氧空位表现为带正

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

集成电路封装测试题 期末2017

1、引线键合技术的分类及结构特点? 答: 1、热压焊:热压焊是利用加热和加压力,使焊区金属发生塑性形变,同时破坏压 焊界面上的氧化层,使压焊的金属丝与焊区金属接触面的原子间达到原子的引 力范围,从而使原子间产生吸引力,达到“键合”的目的。 2、超声焊:超声焊又称超声键合,它是利用超声波(60-120kHz)发生器产生的能量, 通过磁致伸缩换能器,在超高频磁场感应下,迅速伸缩而产生弹性振动经变幅 杆传给劈刀,使劈刀相应振动;同时,在劈刀上施加一定的压力。于是,劈刀 就在这两种力的共同作用下,带动Al丝在被焊区的金属化层(如Al膜)表面迅 速摩擦,使Al丝和Al膜表面产生塑性形变。这种形变也破坏了Al层界面的氧 化层,使两个纯净的金属面紧密接触,达到原子间的“键合”,从而形成牢固 的焊接。 3、金丝球焊:球焊在引线键合中是最具有代表性的焊接技术。这是由于它操作方 便、灵活,而且焊点牢固,压点面积大,又无方向性。现代的金丝球焊机往往 还带有超声功能,从而又具有超声焊的优点,有的也叫做热(压)(超)声焊。可实 现微机控制下的高速自动化焊接。因此,这种球焊广泛地运用于各类IC和中、 小功率晶体管的焊接。 2、载带自动焊的分类及结构特点? 答:TAB按其结构和形状可分为 Cu箔单层带:Cu的厚度为35-70um, Cu-PI双层带 Cu-粘接剂-PI三层带 Cu-PI-Cu双金属 3、载带自动焊的关键技术有哪些? 答:TAB的关键技术主要包括三个部分: 一是芯片凸点的制作技术; 二是TAB载带的制作技术; 三是载带引线与芯片凸点的内引线焊接和载带外引线的焊接术。制作芯片凸点除作为TAB内引线焊接外,还可以单独进行倒装焊(FCB) 4.倒装焊芯片凸点的分类、结构特点及制作方法? 答:蒸镀焊料凸点:蒸镀焊料凸点有两种方法,一种是C4 技术,整体形成焊料凸点; 电镀焊料凸点:电镀焊料是一个成熟的工艺。先整体形成UBM 层并用作电镀的导电层,然后再用光刻胶保护不需要电镀的地方。电镀形成了厚的凸点。 印刷焊料凸点:焊膏印刷凸点是一种广泛应用的凸点形成方法。印刷凸点是采用模板直接将焊膏印在要形成凸点的焊盘上,然后经过回流而形成凸点钉头焊料凸点:这是一种使用标准的球形导线键合技术在芯片上形成的凸点方法。可用Au 丝线或者Pb 基的丝线。 化学凸点:化学镀凸点是一种利用强还原剂在化学镀液中将需要镀的金属离子还原成该金属原子沉积在镀层表面形成凸点的方法。

《半导体集成电路》试题2

第一部分考试试题 第2章集成电路中的晶体管及其寄生效应 1.简述集成双极晶体管的有源寄生效应在其各工作区能否忽略?。 2.什么是集成双极晶体管的无源寄生效应? 3. 什么是MOS晶体管的有源寄生效应? 4. 什么是MOS晶体管的闩锁效应,其对晶体管有什么影响? 5. 消除“Latch-up”效应的方法? 6.如何解决MOS器件的场区寄生MOSFET效应? 7. 如何解决MOS器件中的寄生双极晶体管效应? 第3章集成电路中的无源元件 1.双极性集成电路中最常用的电阻器和MOS集成电路中常用的电阻都有哪些? 2.集成电路中常用的电容有哪些。 3. 为什么基区薄层电阻需要修正。 4. 为什么新的工艺中要用铜布线取代铝布线。 5. 运用基区扩散电阻,设计一个方块电阻200欧,阻值为1K的电阻,已知耗散功率为 20W/c㎡,该电阻上的压降为5V,设计此电阻。 第4章TTL电路 1.名词解释 电压传输特性开门/关门电平逻辑摆幅过渡区宽度输入短路电流输入漏电流 静态功耗瞬态延迟时间瞬态存储时间瞬态上升时间瞬态下降时间 瞬时导通时间 2. 分析四管标准TTL与非门(稳态时)各管的工作状态? 3. 在四管标准与非门中,那个管子会对瞬态特性影响最大,并分析原因以及带来那些困难。 4. 两管与非门有哪些缺点,四管及五管与非门的结构相对于两管与非门在那些地方做了改善,并分析改善部分是如何工作的。四管和五管与非门对静态和动态有那些方面的改进。 5. 相对于五管与非门六管与非门的结构在那些部分作了改善,分析改进部分是如何工作的。 6. 画出四管和六管单元与非门传输特性曲线。并说明为什么有源泄放回路改善了传输特性的矩形性。 7. 四管与非门中,如果高电平过低,低电平过高,分析其原因,如与改善方法,请说出你的想法。 8. 为什么TTL与非门不能直接并联? 9. OC门在结构上作了什么改进,它为什么不会出现TTL与非门并联的问题。 1

IC设计基础笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目)

相关主题
文本预览
相关文档 最新文档