当前位置:文档之家› (完整版)数字IC设计工程师笔试面试经典100题(大部分有答案)

(完整版)数字IC设计工程师笔试面试经典100题(大部分有答案)

(完整版)数字IC设计工程师笔试面试经典100题(大部分有答案)
(完整版)数字IC设计工程师笔试面试经典100题(大部分有答案)

1:什么是同步逻辑和异步逻辑?(汉王)

同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。

同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入x 有无变化,状态表中的每个状态都是稳定的。

异步时序逻辑电路的特点:电路中除可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入的变化直接引起。

2:同步电路和异步电路的区别:

同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。

异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。

3:时序设计的实质:

时序设计的实质就是满足每一个触发器的建立/保持时间的要求。

4:建立时间与保持时间的概念?

建立时间:触发器在时钟上升沿到来之前,其数据输入端的数据必须保持不变的最小时间。保持时间:触发器在时钟上升沿到来之后,其数据输入端的数据必须保持不变的最小时间。

5:为什么触发器要满足建立时间和保持时间?

因为触发器内部数据的形成是需要一定的时间的,如果不满足建立和保持时间,触发器将进入亚稳态,进入亚稳态后触发器的输出将不稳定,在0和1之间变化,这时需要经过一个恢复时间,其输出才能稳定,但稳定后的值并不一定是你的输入值。这就是为什么要用两级触发器来同步异步输入信号。这样做可以防止由于异步输入信号对于本级时钟可能不满足建立保持时间而使本级触发器产生的亚稳态传播到后面逻辑中,导致亚稳态的传播。

(比较容易理解的方式)换个方式理解:需要建立时间是因为触发器的D端像一个锁存器在接受数据,为了稳定的设置前级门的状态需要一段稳定时间;需要保持时间是因为在时钟沿到来之后,触发器要通过反馈来锁存状态,从后级门传到前级门需要时间。

6:什么是亚稳态?为什么两级触发器可以防止亚稳态传播?

这也是一个异步电路同步化的问题。亚稳态是指触发器无法在某个规定的时间段内到达一个可以确认的状态。使用两级触发器来使异步电路同步化的电路其实叫做“一位同步器”,他只能用来对一位异步信号进行同步。两级触发器可防止亚稳态传播的原理:假设第一级触发器的输入不满足其建立保持时间,它在第一个脉冲沿到来后输出的数据就为亚稳态,那么在下一个脉冲沿到来之前,其输出的亚稳态数据在一段恢复时间后必须稳定下来,而且稳定的数据必须满足第二级触发器的建立时间,如果都满足了,在下一个脉冲沿到来时,第二级触发器将不会出现亚稳态,因为其输入端的数据满足其建立保持时间。同步器有效的条件:第一级触发器进入亚稳态后的恢复时间+ 第二级触发器的建立时间< = 时钟周期。

更确切地说,输入脉冲宽度必须大于同步时钟周期与第一级触发器所需的保持时间之和。最保险的脉冲宽度是两倍同步时钟周期。所以,这样的同步电路对于从较慢的时钟域来的异步信号进入较快的时钟域比较有效,对于进入一个较慢的时钟域,则没有作用。

7:系统最高速度计算(最快时钟频率)和流水线设计思想:

同步电路的速度是指同步系统时钟的速度,同步时钟愈快,电路处理数据的时间间隔越短,电路在单位时间内处理的数据量就愈大。假设Tco是触发器的输入数据被时钟打入到触发器到数据到达触发器输出端的延时时间(Tco=Tsetpup+Thold);Tdelay是组合逻辑的延时;Tsetup是D触发器的建立时间。假设数据已被时钟打入D触发器,那么数据到达第一个触发器的Q输出端需要的延时时间是Tco,经过组合逻辑的延时时间为Tdelay,然后到达第二个触发器的D端,要希望时钟能在第二个触发器再次被稳定地打入触发器,则时钟的延迟必须大于Tco+Tdelay+Tsetup,也就是说最小的时钟周期Tmin =Tco+Tdelay+Tsetup,即最快的时钟频率Fmax =1/Tmin。FPGA开发软件也是通过这种方法来计算系统最高运行速度Fmax。因为Tco和Tsetup是由具体的器件工艺决定的,故设计电路时只能改变组合逻辑的延迟时间Tdelay,所以说缩短触发器间组合逻辑的延时时间是提高同步电路速度的关键所在。由于一般同步电路都大于一级锁存,而要使电路稳定工作,时钟周期必须满足最大延时要求。故只有缩短最长延时路径,才能提高电路的工作频率。可以将较大的组合逻辑分解为较小的N块,通过适当的方法平均分配组合逻辑,然后在中间插入触发器,并和原触发器使用相同的时钟,就可以避免在两个触发器之间出现过大的延时,消除速度瓶颈,这样可以提高电路的工作频率。这就是所谓"流水线"技术的基本设计思想,即原设计速度受限部分用一个时钟周期实现,采用流水线技术插入触发器后,可用N个时钟周期实现,因此系统的工作速度可以加快,吞吐量加大。注意,流水线设计会在原数据通路上加入延时,另外硬件面积也会稍有增加。

8:时序约束的概念和基本策略?

时序约束主要包括周期约束,偏移约束,静态时序路径约束三种。通过附加时序约束可以综合布线工具调整映射和布局布线,使设计达到时序要求。

附加时序约束的一般策略是先附加全局约束,然后对快速和慢速例外路径附加专门约束。附加全局约束时,首先定义设计的所有时钟,对各时钟域内的同步元件进行分组,对分组附加周期约束,然后对FPGA/CPLD输入输出PAD附加偏移约束、对全组合逻辑的PAD TO PAD路径附加约束。附加专门约束时,首先约束分组之间的路径,然后约束快、慢速例外路径和多周期路径,以及其他特殊路径。

9:附加约束的作用?

1:提高设计的工作频率(减少了逻辑和布线延时);2:获得正确的时序分析报告;(静态时序分析工具以约束作为判断时序是否满足设计要求的标准,因此要求设计者正确输入约束,以便静态时序分析工具可以正确的输出时序报告)3:指定FPGA/CPLD的电气标准和引脚位置。

10:FPGA设计工程师努力的方向:

SOPC,高速串行I/O,低功耗,可靠性,可测试性和设计验证流程的优化等方面。

随着芯片工艺的提高,芯片容量、集成度都在增加,FPGA设计也朝着高速、高度集成、低功耗、高可靠性、高可测、可验证性发展。芯片可测、可验证,正在成为复杂设计所必备的条件,尽量在上板之前查出bug,将发现bug的时间提前,这也是一些公司花大力气设计

FPGA芯片有固定的时钟路由,这些路由能有减少时钟抖动和偏差。需要对时钟进行相位移动或变频的时候,一般不允许对时钟进行逻辑操作,这样不仅会增加时钟的偏差和抖动,还会使时钟带上毛刺。一般的处理方法是采用FPGA芯片自带的时钟管理器如PLL,DLL 或DCM,或者把逻辑转换到触发器的D输入(这些也是对时钟逻辑操作的替代方案)。

17:FPGA设计中如何实现同步时序电路的延时?

首先说说异步电路的延时实现:异步电路一半是通过加buffer、两级与非门等来实现延时(我还没用过所以也不是很清楚),但这是不适合同步电路实现延时的。在同步电路中,对于比较大的和特殊要求的延时,一半通过高速时钟产生计数器,通过计数器来控制延时;对于比较小的延时,可以通过触发器打一拍,不过这样只能延迟一个时钟周期。

18:FPGA中可以综合实现为RAM/ROM/CAM的三种资源及其注意事项?

三种资源:BLOCK RAM,触发器(FF),查找表(LUT);

注意事项:

1:在生成RAM等存储单元时,应该首选BLOCK RAM 资源;其原因有二:第一:使用BLOCK RAM等资源,可以节约更多的FF和4-LUT等底层可编程单元。使用BLOCK RAM 可以说是“不用白不用”,是最大程度发挥器件效能,节约成本的一种体现;第二:BLOCK RAM 是一种可以配置的硬件结构,其可靠性和速度与用LUT和REGISTER构建的存储器更有优势。

2:弄清FPGA的硬件结构,合理使用BLOCK RAM资源;

3:分析BLOCK RAM容量,高效使用BLOCK RAM资源;

4:分布式RAM资源(DISTRIBUTE RAM)

19:Xilinx中与全局时钟资源和DLL相关的硬件原语:

常用的与全局时钟资源相关的Xilinx器件原语包括:

IBUFG,IBUFGDS,BUFG,BUFGP,BUFGCE,BUFGMUX,BUFGDLL,DCM等。关于各个器件原语的解释可以参考《FPGA设计指导准则》p50部分。

20:HDL语言的层次概念?

HDL语言是分层次的、类型的,最常用的层次概念有系统与标准级、功能模块级,行为级,寄存器传输级和门级。

系统级,算法级,RTL级(行为级),门级,开关级

21:查找表的原理与结构?

查找表(look-up-table)简称为LUT,LUT本质上就是一个RAM。目前FPGA中多使用4输入的LUT,所以每一个LUT可以看成一个有4位地址线的16x1的RAM。当用户通过原理图或HDL语言描述了一个逻辑电路以后,PLD/FPGA开发软件会自动计算逻辑电路的所有可能的结果,并把结果事先写入RAM,这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出即可

22:IC设计前端到后端的流程和EDA工具?

设计前端也称逻辑设计,后端设计也称物理设计,两者并没有严格的界限,一般涉及到与工艺有关的设计就是后端设计。

1:规格制定:客户向芯片设计公司提出设计要求。

2:详细设计:芯片设计公司(Fabless)根据客户提出的规格要求,拿出设计解决方案和具体实现架构,划分模块功能。目前架构的验证一般基于systemC语言,对价后模型的仿真可以使用systemC的仿真工具。例如:CoCentric和Visual Elite等。

3:HDL编码:设计输入工具:ultra ,visual VHDL等

4:仿真验证:modelsim

5:逻辑综合:synplify

6:静态时序分析:synopsys的Prime Time

7:形式验证:Synopsys的Formality.

23:寄生效应在IC设计中怎样加以克服和利用(这是我的理解,原题好像是说,IC设计过程中将寄生效应的怎样反馈影响设计师的设计方案)?

所谓寄生效应就是那些溜进你的PCB并在电路中大施破坏、令人头痛、原因不明的小故障。它们就是渗入高速电路中隐藏的寄生电容和寄生电感。其中包括由封装引脚和印制线过长形成的寄生电感;焊盘到地、焊盘到电源平面和焊盘到印制线之间形成的寄生电容;通孔之间的相互影响,以及许多其它可能的寄生效应。

理想状态下,导线是没有电阻,电容和电感的。而在实际中,导线用到了金属铜,它有一定的电阻率,如果导线足够长,积累的电阻也相当可观。两条平行的导线,如果互相之间有电压差异,就相当于形成了一个平行板电容器(你想象一下)。通电的导线周围会形成磁场(特别是电流变化时),磁场会产生感生电场,会对电子的移动产生影响,可以说每条实际的导线包括元器件的管脚都会产生感生电动势,这也就是寄生电感。

在直流或者低频情况下,这种寄生效应看不太出来。而在交流特别是高频交流条件下,影响就非常巨大了。根据复阻抗公式,电容、电感会在交流情况下会对电流的移动产生巨大阻碍,也就可以折算成阻抗。这种寄生效应很难克服,也难摸到。只能通过优化线路,尽量使用管脚短的SMT元器件来减少其影响,要完全消除是不可能的。

24:用flip-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出carryout和next-stage?

carryout=carryin*current-stage;与门

next-stage=carryin’*current-stage+carryin*current-stage’;与门,非门,或门(或者异或门)

module(clk,current-stage,carryin,next-stage,carryout);

input clk, current-stage,carryin;

output next-stage,carryout;

always@(posedge clk)

carryout<=carryin¤t-stage;

nextstage<=

25:设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零,

1.画出fsm(有限状态机)

2.用verilog编程,语法要符合FPGA设计的要求

3.设计工程中可使用的工具及设计大致过程?

设计过程:

1、首先确定输入输出,A=1表示投入10分,B=1表示投入5分,Y=1表示弹出饮料,Z=1表示找零。

2、确定电路的状态,S0表示没有进行投币,S1表示已经有5分硬币。

3、画出状态转移图。

module sell(clk,rst,a,b,y,z);

input clk,rst,a,b;

output y,z;

parameter s0=0,s1=1;

reg state,next_state;

always@(posedge clk)

begin

if(!rst)

state<=s0;

else

state<=next_state;

end

always@(a or b or cstate)

begin

y=0;z=0;

case(state)

s0: if(a==1&&b==0) next_state=s1;

else if(a==0&&b==1)

begin

next_state=s0; y=1;

end

else

next_state=s0;

s1: if(a==1&&b==0)

begin

next_state=s0;y=1;

end

else if(a==0&&b==1)

begin

next_state=s0; y=1;z=1;

end

else

next_state=s0;

default: next_state=s0;

endcase

end

endmodule

扩展:设计一个自动售饮料机的逻辑电路。它的投币口每次只能投入一枚五角或一元的硬币。投入一元五角硬币后给出饮料;投入两元硬币时给出饮料并找回五角。

1、确定输入输出,投入一元硬币A=1,投入五角硬币B=1,给出饮料Y=1,找回五角Z=1;

2、确定电路的状态数,投币前初始状态为S0,投入五角硬币为S1,投入一元硬币为S2。

画出转该转移图,根据状态转移图可以写成Verilog代码。

26:什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?

线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现,由于不用oc门可能使灌电流过大,而烧坏逻辑门. 同时在输出端口应加一个上拉电阻。oc门就是集电极开路门。od门是漏极开路门。

27:什么是竞争与冒险现象?怎样判断?如何消除?

在组合电路中,某一输入变量经过不同途径传输后,到达电路中某一汇合点的时间有先有后,这种现象称竞争;由于竞争而使电路输出发生瞬时错误的现象叫做冒险。(也就是由于竞争产生的毛刺叫做冒险)。

判断方法:代数法(如果布尔式中有相反的信号则可能产生竞争和冒险现象);卡诺图:有两个相切的卡诺圈并且相切处没有被其他卡诺圈包围,就有可能出现竞争冒险;实验法:示波器观测;

解决方法:1:加滤波电容,消除毛刺的影响;2:加选通信号,避开毛刺;3:增加冗余项消除逻辑冒险。

门电路两个输入信号同时向相反的逻辑电平跳变称为竞争;

由于竞争而在电路的输出端可能产生尖峰脉冲的现象称为竞争冒险。

如果逻辑函数在一定条件下可以化简成Y=A+A’或Y=AA’则可以判断存在竞争冒险现象(只是一个变量变化的情况)。

消除方法,接入滤波电容,引入选通脉冲,增加冗余逻辑

28:你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?

常用逻辑电平:TTL、CMOS、LVTTL、LVCMOS、ECL(Emitter Coupled Logic)、PECL (Pseudo/Positive Emitter Coupled Logic)、LVDS(Low Voltage Differential Signaling)、GTL(Gunning Transceiver Logic)、BTL(Backplane Transceiver Logic)、ETL(enhanced transceiver logic)、GTLP(Gunning Transceiver Logic Plus);RS232、RS422、RS485(12V,5V,3.3V);

也有一种答案是:常用逻辑电平:12V,5V,3.3V。

TTL和CMOS 不可以直接互连,由于TTL是在0.3-3.6V之间,而CMOS则是有在12V

的有在5V的。CMOS输出接到TTL是可以直接互连。TTL接到CMOS需要在输出端口加一上拉电阻接到5V或者12V。

用CMOS可直接驱动TTL;加上拉电阻后,TTL可驱动CMOS.

上拉电阻用途:

1、当TTL电路驱动COMS电路时,如果TTL电路输出的高电平低于COMS电路的最低高电平(一般为3.5V),这时就需要在TTL的输出端接上拉电阻,以提高输出高电平的值。

2、OC门电路必须加上拉电阻,以提高输出的高电平值。

3、为加大输出引脚的驱动能力,有的单片机管脚上也常使用上拉电阻。

4、在COMS芯片上,为了防止静电造成损坏,不用的管脚不能悬空,一般接上拉电阻产生降低输入阻抗,提供泄荷通路。

5、芯片的管脚加上拉电阻来提高输出电平,从而提高芯片输入信号的噪声容限增强抗干扰能力。

6、提高总线的抗电磁干扰能力。管脚悬空就比较容易接受外界的电磁干扰。

7、长线传输中电阻不匹配容易引起反射波干扰,加上下拉电阻是电阻匹配,有效的抑制反射波干扰。

上拉电阻阻值的选择原则包括:

1、从节约功耗及芯片的灌电流能力考虑应当足够大;电阻大,电流小。

2、从确保足够的驱动电流考虑应当足够小;电阻小,电流大。

3、对于高速电路,过大的上拉电阻可能边沿变平缓。综合考虑以上三点,通常在1k到10k 之间选取。对下拉电阻也有类似道理。

OC门电路必须加上拉电阻,以提高输出的高电平值。

OC门电路要输出“1”时才需要加上拉电阻不加根本就没有高电平

在有时我们用OC门作驱动(例如控制一个LED)灌电流工作时就可以不加上拉电阻

总之加上拉电阻能够提高驱动能力。

29:IC设计中同步复位与异步复位的区别?

同步复位在时钟沿变化时,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。

30:MOORE 与MEELEY状态机的特征?

Moore 状态机的输出仅与当前状态值有关, 且只在时钟边沿到来时才会有状态变化。

Mealy 状态机的输出不仅与当前状态值有关, 而且与当前输入值有关。

31:多时域设计中,如何处理信号跨时域?

不同的时钟域之间信号通信时需要进行同步处理,这样可以防止新时钟域中第一级触发器的亚稳态信号对下级逻辑造成影响。

信号跨时钟域同步:当单个信号跨时钟域时,可以采用两级触发器来同步;数据或地址总线跨时钟域时可以采用异步FIFO来实现时钟同步;第三种方法就是采用握手信号。

32:说说静态、动态时序模拟的优缺点?

静态时序分析是采用穷尽分析方法来提取出整个电路存在的所有时序路径,计算信号在这些路径上的传播延时,检查信号的建立和保持时间是否满足时序要求,通过对最大路径延时和最小路径延时的分析,找出违背时序约束的错误。它不需要输入向量就能穷尽所有的路径,且运行速度很快、占用内存较少,不仅可以对芯片设计进行全面的时序功能检查,而且还可利用时序分析的结果来优化设计,因此静态时序分析已经越来越多地被用到数字集成电路设计的验证中。

动态时序模拟就是通常的仿真,因为不可能产生完备的测试向量,覆盖门级网表中的每一条路径。因此在动态时序分析中,无法暴露一些路径上可能存在的时序问题;

33:一个四级的Mux,其中第二级信号为关键信号如何改善timing.?

关键:将第二级信号放到最后输出一级输出,同时注意修改片选信号,保证其优先级未被修改。(为什么?)

34:给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入, 使得输出依赖于关键路径?

关键路径就是输入到输出延时最大的路径,找到了关键路径便能求得最大时钟频率。

35:为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?

和载流子有关,P管是空穴导电,N管是电子导电,电子的迁移率大于空穴,同样的电场下,N管的电流大于P管,因此要增大P管的宽长比,使之对称,这样才能使得两者上升时间下降时间相等、高低电平的噪声容限一样、充电放电的时间相等。

36:用mos管搭出一个二输入与非门?

<数字电子技术基础(第五版)> 92页

与非门:上并下串或非门:上串下并

37:画出NOT,NAND,NOR的符号,真值表,还有transistor level(晶体管级)的电路?

<数字电子技术基础(第五版)> 117页—134页

38:画出CMOS的图,画出tow-to-one mux gate.(威盛VIA 2003.11.06 上海笔试试题) ? Y=SA+S’B 利用与非门和反相器,进行变换后Y=((SA)’*(S’A)’)’,三个与非门,一个反相器。也可以用传输门来实现数据选择器或者是异或门。

39:用一个二选一mux和一个inv实现异或?

其中:B连接的是地址输入端,A和A非连接的是数据选择端,F对应的的是输出端,使能端固定接地置零(没有画出来).

Y=BA’+B’A

利用4选1实现F(x,y,z)=xz+yz'

F(x,y,z)=xyz+xy’z+xyz'+x’yz’=x’y’0+x’yz’+xy’z+xy1

Y=A’B’D0+A’BD1+AB’D2+ABD3

所以D0=0,D1=z’,D2=z,D3=1

40:画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E).(仕兰微电子)?

画出Y=A*B+C的CMOS电路图,画出Y=A*B+C*D的CMOS电路图。

利用与非门和或非门实现

Y=A*B+C(D+E)=((AB’)(CD)’(CE)’)’三个两输入与非门,一个三输入与非门

Y=A*B+C=((AB)’C’) 一个反相器,两个两输入与非门

Y=A*B+C*D=((AB)’(CD)’)’三个两输入与非门

41:用与非门等设计全加法器?(华为)

《数字电子技术基础》192页。

通过摩根定律化成用与非门实现。

42:A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0 多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制?(与非-与非形式)

先画出卡诺图来化简,化成与或形式,再两次取反便可。

43:画出一种CMOS的D锁存器的电路图和版图?

也可以将右图中的与非门和反相器用CMOS电路画出来。

44:LATCH和DFF的概念和区别?

45:latch与register的区别,为什么现在多用register.行为级描述中latch如何产生的?

latch是电平触发,register是边沿触发,register在同一时钟边沿触发下动作,符合同步电路的设计思想,而latch则属于异步电路设计,往往会导致时序分析困难,不适当的应用latch则会大量浪费芯片资源。

46:用D触发器做个二分频的电路?画出逻辑电路?

module div2(clk,rst,clk_out);

input clk,rst;

output reg clk_out;

always@(posedge clk)

begin

if(!rst)

clk_out <=0;

else

clk_out <=~ clk_out;

end

endmodule

现实工程设计中一般不采用这样的方式来设计,二分频一般通过DCM来实现。通过DCM 得到的分频信号没有相位差。

或者是从Q端引出加一个反相器。

47:什么是状态图?

状态图是以几何图形的方式来描述时序逻辑电路的状态转移规律以及输出与输入的关系。

48:用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?module counter7(clk,rst,load,data,cout);

input clk,rst,load;

input [2:0] data;

output reg [2:0] cout;

always@(posedge clk)

begin

if(!rst)

cout<=3’d0;

else if(load)

cout<=data;

else if(c out>=3’d6)

cout<=3’d0;

else

cout<=cout+3’d1;

end

endmodule

49:你所知道的可编程逻辑器件有哪些?

PAL,PLA,GAL,CPLD,FPGA

50:用Verilog或VHDL写一段代码,实现消除一个glitch(毛刺)?

将传输过来的信号经过两级触发器就可以消除毛刺。(这是我自己采用的方式:这种方式消除毛刺是需要满足一定条件的,并不能保证一定可以消除)

module(clk,data,q_out)

input clk,data;

output reg q_out;

reg q1;

always@(posedge clk)

begin

q1<=data;

q_out<=q1;

end

endmodule

51:SRAM,FALSH MEMORY,DRAM,SSRAM及SDRAM的区别?

SRAM:静态随机存储器,存取速度快,但容量小,掉电后数据会丢失,不像DRAM 需要不停的REFRESH,制造成本较高,通常用来作为快取(CACHE) 记忆体使用。FLASH:闪存,存取速度慢,容量大,掉电后数据不会丢失

DRAM:动态随机存储器,必须不断的重新的加强(REFRESHED) 电位差量,否则电位差将降低至无法有足够的能量表现每一个记忆单位处于何种状态。价格比SRAM便宜,但访问速度较慢,耗电量较大,常用作计算机的内存使用。

SSRAM:即同步静态随机存取存储器。对于SSRAM的所有访问都在时钟的上升/下降沿启动。地址、数据输入和其它控制信号均于时钟信号相关。

SDRAM:即同步动态随机存取存储器。

52:有四种复用方式,频分多路复用,写出另外三种?

四种复用方式:频分多路复用(FDMA),时分多路复用(TDMA),码分多路复用(CDMA),波分多路复用(WDMA)。

53:ASIC设计流程中什么时候修正Setup time violation 和Hold time violation?如何修正?解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA2003.11.06 上海笔试试题)

见前面的建立时间和保持时间,violation违反,不满足

54:给出一个组合逻辑电路,要求分析逻辑功能。

所谓组合逻辑电路的分析,就是找出给定逻辑电路输出和输入之间的关系,并指出电路的逻辑功能。

分析过程一般按下列步骤进行:

1:根据给定的逻辑电路,从输入端开始,逐级推导出输出端的逻辑函数表达式。

2:根据输出函数表达式列出真值表;

3:用文字概括处电路的逻辑功能;

55:如何防止亚稳态?

亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无用的输出电平可以沿信号通道上的各个触发器级联式传播下去。

解决方法:

1 降低系统时钟频率

2 用反应更快的FF

3 引入同步机制,防止亚稳态传播(可以采用前面说的加两级触发器)。

4 改善时钟质量,用边沿变化快速的时钟信号

56:基尔霍夫定理的内容

基尔霍夫定律包括电流定律和电压定律:

电流定律:在集总电路中,在任一瞬时,流向某一结点的电流之和恒等于由该结点流出的电流之和。

电压定律:在集总电路中,在任一瞬间,沿电路中的任一回路绕行一周,在该回路上电动势之和恒等于各电阻上的电压降之和。

57:描述反馈电路的概念,列举他们的应用。

反馈,就是在电路系统中,把输出回路中的电量(电压或电流)输入到输入回路中去。

反馈的类型有:电压串联负反馈、电流串联负反馈、电压并联负反馈、电流并联负反馈。负反馈的优点:降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用。

电压负反馈的特点:电路的输出电压趋向于维持恒定。

电流负反馈的特点:电路的输出电流趋向于维持恒定。

58:有源滤波器和无源滤波器的区别

无源滤波器:这种电路主要有无源元件R、L和C组成

有源滤波器:集成运放和R、C组成,具有不用电感、体积小、重量轻等优点。

集成运放的开环电压增益和输入阻抗均很高,输出电阻小,构成有源滤波电路后还具有一定的电压放大和缓冲作用。但集成运放带宽有限,所以目前的有源滤波电路的工作频率难以做得很高。

59:给了reg的setup,hold时间,求中间组合逻辑的delay范围。

Tdelay < Tperiod - Tsetup – Thold

Tperiod > Tsetup + Thold +Tdelay (用来计算最高时钟频率)

Tco= Tsetup + Thold 即触发器的传输延时

60、时钟周期为T,触发器D1的寄存器到输出时间(触发器延时Tco)最大为T1max,最小为T1min。组合逻辑电路最大延迟为T2max,最小为T2min。问,触发器D2的建立时间T3和保持时间应满足什么条件。

T3setup>T+T2max 时钟沿到来之前数据稳定的时间(越大越好),一个时钟周期T加上最大的逻辑延时。

T3hold>T1min+T2min 时钟沿到来之后数据保持的最短时间,一定要大于最小的延时也就是T1min+T2min

61、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q(Tco),还有clock的delay,写出决定最大时钟的因素,同时给出表达式。

T+Tclkdealy>Tsetup+Tco+Tdelay;

Thold>Tclkdelay+Tco+Tdelay; 保持时间与时钟周期无关

62、实现三分频电路,3/2分频电路等(偶数倍分频奇数倍分频)

图2是3分频电路,用JK-FF实现3分频很方便,不需要附加任何逻辑电路就能实现同步计数分频。但用D-FF实现3分频时,必须附加译码反馈电路,如图2所示的译码复位电路,强制计数状态返回到初始全零状态,就是用NOR门电路把Q2,Q1=“11B”的状态译码产生“H”电平复位脉冲,强迫FF1和FF2同时瞬间(在下一时钟输入Fi的脉冲到来之前)复零,于是Q2,Q1=“11B”状态仅瞬间作为“毛刺”存在而不影响分频的周期,这种“毛刺”仅在Q1中存在,实用中可能会造成错误,应当附加时钟同步电路或阻容低通滤波电路来滤除,或者仅使用Q2作为输出。D-FF的3分频,还可以用AND门对Q2,Q1译码来实现返回复零。

63、名词解释

CMOS(Complementary Metal Oxide Semiconductor),互补金属氧化物半导体,电压控制的一种放大器件。是组成CMOS数字集成电路的基本单元。

MCU(Micro Controller Unit)中文名称为微控制单元,又称单片微型计算机(Single Chip Microcomputer)或者单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数计器和多种I/O接口集成在一片芯片上,形成芯片级的计算机,为不同的应用场合做不同组合控制。

RISC(reduced instruction set computer,精简指令集计算机)是一种执行较少类型计算机指令的微处理器,起源于80年代的MIPS主机(即RISC机),RISC机中采用的微处理器统称RISC处理器。这样一来,它能够以更快的速度执行操作(每秒执行更多百万条指令,即MIPS)。因为计算机执行每个指令类型都需要额外的晶体管和电路元件,计算机指令集越大就会使微处理器更复杂,执行操作也会更慢。

CISC是复杂指令系统计算机(Complex Instruction Set Computer)的简称,微处理器是台式计算机系统的基本处理部件,每个微处理器的核心是运行指令的电路。指令由完成任务的多个步骤所组成,把数值传送进寄存器或进行相加运算。

DSP(digital signal processor)是一种独特的微处理器,是以数字信号来处理大量信息的器件。其工作原理是接收模拟信号,转换为0或1的数字信号。再对数字信号进行修改、删除、强化,并在其他系统芯片中把数字数据解译回模拟数据或实际环境格式。它不仅具有可编程性,而且其实时运行速度可达每秒数以千万条复杂指令程序,远远超过通用微处理器,是数字化电子世界中日益重要的电脑芯片。它的强大数据处理能力和高运行速度,是最值得称道的两大特色。

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点

PCI(Peripheral Component Interconnect) 外围组件互连,一种由英特尔(Intel)公司1991年推出的用于定义局部总线的标准。

ECC是“Error Correcting Code”的简写,中文名称是“错误检查和纠正”。ECC是一种能够实现“错误检查和纠正”的技术,ECC内存就是应用了这种技术的内存,一般多应用在服务器及图形工作站上,这将使整个电脑系统在工作时更趋于安全稳定。

DDR=Double Data Rate双倍速率同步动态随机存储器。严格的说DDR应该叫DDR SDRAM,人们习惯称为DDR,其中,SDRAM 是Synchronous Dynamic Random Access Memory的缩写,即同步动态随机存取存储器。

IRQ全称为Interrupt Request,即是“中断请求”的意思(以下使用IRQ称呼)。IRQ的作用就是在我们所用的电脑中,执行硬件中断请求的动作,用来停止其相关硬件的工作状态

USB ,是英文Universal Serial BUS(通用串行总线)的缩写,而其中文简称为“通串线,是一个外部总线标准,用于规范电脑与外部设备的连接和通讯。

BIOS是英文"Basic Input Output System"的缩略语,直译过来后中文名称就是"基本输入输出系统"。其实,它是一组固化到计算机内主板上一个ROM芯片上的程序,它保存着计算机最重要的基本输入输出的程序、系统设置信息、开机后自检程序和系统自启动程序。其主要功能是为计算机提供最底层的、最直接的硬件设置和控制。

64、三极管特性曲线

65、Please show the CMOS inverter schematic, layout and its cross section with P-well process. Plot its transfer curve (Vout-Vin) and also explain the operation region of PMOS and NMOS for each segment of the transfer curve? (威盛笔试题circuit

design-beijing-03.11.09)

66、To design a CMOS inverter with balance rise and fall time, please define the ration of channel width of PMOS and NMOS and explain? P管要比N管宽

67、Please draw the transistor level schematic of a CMOS 2 input AND gate and explain which input has faster response for output rising edge.(less delay time)。(威盛笔试题circuit design-beijing-03.11.09)

68、为了实现逻辑Y=A’B+AB’+CD,请选用以下逻辑中的一种,并说明为什么?

1)INV 2)AND 3)OR 4)NAND 5)NOR 6)XOR 答案:NAND(未知)69、用波形表示D触发器的功能。(扬智电子笔试)

70、用传输门和倒向器搭一个边沿触发器(DFF)。(扬智电子笔试)

通过级联两个D锁存器组成

71、用逻辑门画出D触发器。(威盛VIA 2003.11.06 上海笔试试题)

电平触发的D触发器(D锁存器)牢记!

边沿触发的D触发器,有两个D锁存器构成

72、画出DFF的结构图,用verilog实现之。(威盛)

module dff(clk,d,qout);

input clk,d;

output qout;

reg qout;

always@(posedge clk)

begin

if(!reset)

qout<=0;

else

qout<=d;

end

endmodule

73、画出一种CMOS的D锁存器的电路图和版图。(未知)

或者是利用前面与非门搭的D锁存器实现

74、用filp-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出carryout和next-stage. (未知)

75、用D触发器做个4进制的计数。(华为)

按照时序逻辑电路的设计步骤来:

1、写出状态转换表

2、寄存器的个数确定

3、状态编码

4、卡诺图化简

5、状态方程,驱动方程等

阎石数字电路P314

76、实现N位Johnson Counter, N=5。(南山之桥)

78、数字电路设计当然必问Verilog/VHDL,如设计计数器。(未知)

79、请用HDL描述四位的全加法器、5分频电路。(仕兰微电子)

module adder4(a,b,ci,s,co);

input ci;

input [3:0] a,b;

output co;

output [3:0] s;

assign {co,s}=a+b+ci;

endmodule

module div5(clk,rst,clk_out);

input clk,rst;

output clk_out;

reg [3:0] count;

always@(posedge clk)

begin

if(!rst)

begin

count<=0;

clk_out=0;

end

else if(count==3’d5)

begin

count<=0;

clk_out=~clk_out;

end

else

count<=count+1;

end

endmodule

实现奇数倍分频且占空比为50%的情况:module div7 ( clk, reset_n, clkout ); input clk,reset_n;

output clkout;

reg [3:0] count;

reg div1;

reg div2;

always @( posedge clk )

begin

if ( ! reset_n )

count <= 3'b000;

else

case ( count )

3'b000 : count <= 3'b001;

3'b001 : count <= 3'b010;

3'b010 : count <= 3'b011;

3'b011 : count <= 3'b100;

3'b100 : count <= 3'b101;

3'b101 : count <= 3'b110;

3'b110 : count <= 3'b000;

default :

count <= 3'b000;

endcase

end

最全的面试经典问题和回答

1.简单介绍一下你自己。 提示:面试官希望了解你,并做一个初始判断,而这个判断对后面的谈话至关重要。所以,你需要留下一个思路清晰、对工作和自我有认识的成熟形象。你可以先询问:“请问你想了解我的能力,还是我的个性?”搞清面试官意图后,请言简意赅回答,尽量列举和工作相关的特点;切忌报流水账,泛泛而谈。 2.你对我们公司有什么了解?第一印象是什么? 提示:面试官想知道你是真的做过调查,认真考虑过我们公司,还是随意投出简历。大家辛苦做工作调研的回报时刻到了!在回答时,不要只是用公司网站上贴出了那些内容照本宣科,那些面试官比你更熟悉;你需要谈你的想法,对公司业务、人、未来、公司最近的大事等。 3.你为什么想到我们公司来工作? 提示:大家可以结合行业、公司和职位来讲。不需要每个方面都涉及;但一定要PERSONAL,要和你自己结合起来,而不是空谈对方公司怎么样。因为面试官想知道你的动机是什么。4.你的职业目标是什么? 提示:先说短期的目标,因为公司更看重你可以为公司带来的效益。再说长期目标。你已经通过职业调查明确了你目标职位的职业路径,是吗?千万避免说出无法实现的职业目标。如果你对长期目标不清楚,可以说:“我短期是……对于长期的目标,我主要是想在市场营销领域发展。我想我成为顶尖的市场策划(避免明确的职位)。” 5.谈谈你对于(比如销售)的认识。做好这份工作需要什么素质? 提示:又是一个体现你工作调研努力的好时机,要说出“个人理解”和“深刻认识(insight)”。 但请言简意赅,而且你可以顺便引出你拥有什么素质。 6.你为什么适合这个职位? 提示:请列举你最适合这个工作的三个优势(包括技能、知识和才华),但不要列举非常泛的优势,比如和人沟通的能力。请在每个特点后加上你的成就来证明你具有这种能力。如果你觉得三个实在不够,可以说:“除了以上三个最突出特点,我还有xxx。如果您想了解,我可以再介绍一下。”但不要超过5个,不然你听上去就像上帝了! 7.你最大的优点是什么? 提示:可以选择和工作相关的最大优点,并讲述成功故事。要表现自己,但不要过分吹嘘。 8.你最大的缺点是什么? 提示:考查你是否有自知之明,并会采取措施改善这个缺点。牢记两点:一、不要讲对于工作致命的缺点,不然你也不应该选择这份工作。二、请一定要讲你为什么认为这是缺点?你准备或者已经采取了什么“具体措施”来改进?论述时请真诚。 9.你最大的成就是什么? 提示:面试官想知道的不仅是你的成就,更重要的是他希望从中看出“你是谁?”——你的能力、你的价值观、你的做事思维等。如果你有很多成就可以选,请选择你最符合职位要求的一个成就来谈。 10.你和其他应聘者有什么不同? 提示:你的技能比别人好吗?好多少?就算技能相同或差一点,但你有什么特点能够让别人愿意和你一起工作? 11.你做哪类工作会完成的很好,或学得很快? 提示:面试官想知道你最大的擅长是什么。请选择最符合职位要求的特点来谈。 12.什么事情能让你全身心投入? 提示:面试官想知道你的动力来自哪里。 13.你的爱好有哪些? 提示:面试官想通过你的爱好判断一下你的特点。你可以挑选能体现职位需要的爱好,但也完全可以谈些其他爱好。

面试时的100个关键问题Word版

附:面试时的100个关键问题 《TOPl00面谈题目排行榜》(作者:许书扬、胡仪全学林出版社1999年12月第一版)一书列出了面试时100个关键问题,现摘录如下: 1.谈谈你自己吧。 2.你有什么问题要问吗? 3.你的期望待遇是什么? 4.为什么想离开目前的工作? 5.你觉得自己最大的长处为何? 6.你觉得自己最大的弱点(缺点)是什么? 7.你多快可以开始来上班? 8.目前的工作上,你觉得比较困难的部分在哪里? 9.为什么你值得我们雇用呢? 。 10.你的工作中最令你喜欢的部分是什么? 11.对于目前的工作,你觉得最不喜欢的地方是什么? 12.你找工作时最在乎的是什么?请谈一下你理想中的工作。 13.请介绍你的家庭。 14.请谈谈在工作时曾经令你感到十分沮丧的一次经验。 15.你最近找工作时曾面谈过哪些工作?应征什么职位?结果如何? 16.请你用英文介绍目前服务的公司。 17.如果我雇用你,你觉得可以为部门带来什么样的贡献? 18.你觉得自己具备什么样的资格来应征这项工作? 19.谈谈你最近阅读的一本书或杂志。 20、你觉得你的主管(同事)会给你什么样的评语? 21.你如何规划未来,你认为5年后能达到什么样的成就? 22.你觉得要获得职业上的成功需要具备什么样的特质及能力? 23.谈谈你觉得对于自己的表现不甚满意的一次工作经历。 24.由你的履历来看,你在过去5年内更换工作颇为频繁,我如何知道如果我们录用你,你不会很快地离职? 25.你曾经因为某一次特殊经验而影响日后地工作态度吗? 26.你最近是否参加了培训课程?谈谈培训课程的内容。是公司资助还是自费参加? 27.对于工作表现不尽理想的人员,你会以什么样的激励方式来提升其工作效率? 28.你曾听说过我们公司吗?你对于本公司的第一印象如何? 29.你如何克服工作的低潮期? 30.你与同事之间的相处曾有不愉快的经历吗? 31.谈谈你对加班的看法。 32.请描述目前主管所具备的哪些特质是你认为值得学习的? 33.你对于我们公司了解多少? 34.你目前已离职了吗? 35.如果这份工作经常要出差出国,平均每个月两次,每次约5天,你可以接受吗? 36.你开始投入找工作的时间有多久了? 37.你自认为还有哪些方面可以再加强? 38.如何由工作中看出你是个自动自觉的人? 39.在你过去的销售经验中,曾遇到什么样的难题?你如何克服它?

面试经典问题及答案

20个面试经典问题及答案分析 1. 什么使你区别于其他应聘者 面试官通常基于简历已经得到了这个问题的答案,但这是你真正自我推销的时候。多数面试官都会坐在一旁看你把自己推销得如何。偶尔惊喜是好的,但也可能显得狡猾——如果某些内容应该在简历上出现,却为什么未出现?你该知道自己简历的精华何在,然后将它们列出。 2. 描述你应聘的职位 这也是一道“作业”题,但通过应聘者当场给出的见解也能掌握一些信息。最佳准备是阅读职位描述并用自己的语言对自己复述出来,以便在面试时流利应答。 3. 你为何选择我们公司 对这个问题可以事先准备好一个陈述式的答案——大致上,只要给出一些这个公司和职位吸引你的理由以及它们为何吸引你。 4. 这个职位的哪一方面使你感到最不适 多数人认为这个问题会涉及淘汰,但通常它并非如此。这其实是个诚实问题。没有人会对某项工作的每个方面都满意——这不是我们的天性。工作地点?工作时间?同事?公司规模太大?太小?诚实在此很重要——我希望听到一个感到不适的诚恳理由(尤其是真正从对公司的观察中得来),而不是一句没有任何不适的陈词滥调。好的回答可以是“我从未在如此大规模的公司工作过”,或“在协作文化上我听说了一些奇怪的方面”、或“在起步阶段工作使我感到紧张”等。 5. 上一份工作中你最大的成功是什么? 6. 上一份工作中你最大的失败是什么? 这两个问题通常可以组成一组,但重要的是后者。最好的应聘者应该承认自己有过过失(他们诚实而敢于承认错误)并从中吸取了教训,这是一项无比重要的美德。 7. 说说你曾遇到的最困难的项目 面试官通常不真正关注项目具体是什么,其实质是看看你是否经历过真正的困难并如何克服它。对大多数人而言,这并不是最大的成功或失败,而是将失败方面转化为成功的方面。 8. 对这个领域的未来趋势有何看法 这个问题对一些领域有用——技术类或领导岗位——对其他一些则没用。这个问题有用没用在你应聘的特定工作类型中是显而易见的。如果有用,答案的准备很简单——只要花上半小时阅读相关领域的一些博客文章你就能汲取所需信息。 9. 去年中是否在与这项工作有关要求方面学到了新的东西/提升了自己 这是一个很容易让人无所适从的问题,多少人就是想不出答案。最佳应对方式其实就是总是花一些时间以任何方式提升自己的技能。写写开源代码、实践一下当主持人、上上课等,如果你每年都投入经历提升自己,不但会有一份漂亮的简历,当然这个问题也就不算什么了。 10. 说说你梦想中的职业 绝不要说这项工作,绝不要说另一项具体的工作。这两种回答都实在糟糕——前者树起了警旗而后者说明你无心恋战。回答应该紧贴一些具体的特质——讲讲你梦想中工作的一些方面。可以的话其中一些应该契合你要应聘的单位,但不要完全契合是最好的。 11. 之前工作中遇到过严重冲突吗?它是如何解决的? 这个问题需要诚实,同时需意识到任何事物的矛盾都包含两方面。它同样可能会让那

数字IC设计笔试面试经典100题

1:什么是同步逻辑和异步逻辑?(汉王) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入x 有无变化,状态表中的每个状态都是稳定的。 异步时序逻辑电路的特点:电路中除可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入的变化直接引起。 2:同步电路和异步电路的区别: 同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。 3:时序设计的实质: 时序设计的实质就是满足每一个触发器的建立/保持时间的要求。 4:建立时间与保持时间的概念? 建立时间:触发器在时钟上升沿到来之前,其数据输入端的数据必须保持不变的最小时间。保持时间:触发器在时钟上升沿到来之后,其数据输入端的数据必须保持不变的最小时间。 5:为什么触发器要满足建立时间和保持时间? 因为触发器内部数据的形成是需要一定的时间的,如果不满足建立和保持时间,触发器将进入亚稳态,进入亚稳态后触发器的输出将不稳定,在0和1之间变化,这时需要经过一个恢复时间,其输出才能稳定,但稳定后的值并不一定是你的输入值。这就是为什么要用两级触发器来同步异步输入信号。这样做可以防止由于异步输入信号对于本级时钟可能不满足建立保持时间而使本级触发器产生的亚稳态传播到后面逻辑中,导致亚稳态的传播。 (比较容易理解的方式)换个方式理解:需要建立时间是因为触发器的D端像一个锁存器在接受数据,为了稳定的设置前级门的状态需要一段稳定时间;需要保持时间是因为在时钟沿到来之后,触发器要通过反馈来锁存状态,从后级门传到前级门需要时间。 6:什么是亚稳态?为什么两级触发器可以防止亚稳态传播? 这也是一个异步电路同步化的问题。亚稳态是指触发器无法在某个规定的时间段内到达一个可以确认的状态。使用两级触发器来使异步电路同步化的电路其实叫做“一位同步器”,他只能用来对一位异步信号进行同步。两级触发器可防止亚稳态传播的原理:假设第一级触发器的输入不满足其建立保持时间,它在第一个脉冲沿到来后输出的数据就为亚稳态,那么在下一个脉冲沿到来之前,其输出的亚稳态数据在一段恢复时间后必须稳定下来,而且稳定的数据必须满足第二级触发器的建立时间,如果都满足了,在下一个脉冲沿到来时,第二级触发器将不会出现亚稳态,因为其输入端的数据满足其建立保持时间。同步器有效的条件:第一级触发器进入亚稳态后的恢复时间+ 第二级触发器的建立时间< = 时钟周期。

100道面试常见问题经典面试题

工作动机、个人愿望 ?问题:请给我们谈谈你自己的一些情况 ?回答:简要的描述你的相关工作经历以及你的一些特征,包括与人相处的能力和个人的性格特征。如果你一下子不能够确定面试者到底需要什么样的内容,你可以这样说: “有没有什么您特别感兴趣的范围?” ?点评:企业以此来判断是否应该聘用你。通过你的谈论,可以看出你想的是如何为公司效力还是那些会影响工作的个人问题。当然,还可以知道你的一些背景。 问题:你是哪年出生的?你是哪所大学毕业的?等等 回答:我是XXXX年出生的。我是XX大学毕业的。 ?点评:这类问题至为关键的是要针对每个问题简洁明了的回答,不可拖泥带水,也不必再加什么说明。完全不必再画蛇添足的说“我属X,今年XX岁”之类的话。至于专业等 或许主考官接下来的问题就是针对此而言的,故而不必迫不及待和盘托出。 ?问题:你认为对你来说现在找一份工作是不是不太容易,或者你很需要这份工作? ?回答: ? 1.是的。 ? 2.我看不见得。 ?点评: ?一般按1回答,一切便大功告成。 ?有些同学为了显示自己的“不卑不亢“,强调个人尊严,故按2回答。结果,用人单位打消了录用该生的念头,理由是:“此人比较傲“一句话,断送了该生一次较好的就 业机会。 ?问题:为何辞去原来的工作? ?回答:工作地点离家较远,路上花费时间多,发生交通问题时,影响工作。贵公司的工作岗位更适合自己专业(个性)的发展。 ?点评:为了避免应聘者以相同的原因辞职,公司尽量能做到对这方面原因的了解,有助于创造一个良好的工作环境和人际氛围。因此,应聘者最好说出对方能信服的理由。 如果自己确有缺点,要说出“将尽量克服自己缺点”,作为有信心改变这类情况的答复。 ?问题:你是怎么应聘到我们公司的?

2019国家公务员面试真题:结构化面试经典100题及答案

内蒙古分校内蒙古华图:https://www.doczj.com/doc/4f11686603.html, 更多考试相关内容请戳:https://www.doczj.com/doc/4f11686603.html,/ 2019国家公务员面试真题:结构化面试经典100题及答案【经典题45】领导叫小王打印一份同事制作的文件并叫他签名,领导过目后发现该文件有文字表述错误及数据不实,然后,当面严厉批评小王,如果你是小王,你该怎么做? 【答题要点】此题为人际交往意识与技巧类试题,具体为与多重关系的人际交往。重点考查考生能否正确对待领导的批评,以及在工作中正常处理与同事的关系。此题有一陷阱——“同事制作的文件”,考生在答题过程中一定不要把责任全盘推到同事身上,而是要勇于承担责任。首先,表明自己处理这一事件的基本态度;其次,重点阐述积极处理措施,注意做到思维缜密、逻辑清晰;最后,进行反思和总结,争取做到让领导放心、让同事信任。 【参考答案】领导批评我是对我工作的教导和督促,不管文件中的错误是不是由于我的原因导致的,我都不能当面顶撞领导,更不能有任何抵触情绪而影响正常工作。因此,在这件事上,我要主动承认错误,积极补救;还要做到尊重领导,服从领导安排,多向领导学习请教,不断提高、完善自我。 首先,面对领导的批评,先立即向领导道歉,承认错误,请求领导原谅,并说明自己现在立即就去打印一份新的文件,保证以后不再犯错。 其次,在离开后,立即将打印的文件与原文件进行核实,看是不是在打印的过程中出现读取错误而导致的文字丢失等情况,如果是的话我要重新打印一次,与原文件核实无误后再交给领导;如果原文件本身就存在错误,那我就要找到制作该文件的同事,请其重新进行核对并改正文字表述和数据上的错误,毕竟文件

硬件工程师经典面试100-题

硬件经典面试100 题(附参考答案) 1、请列举您知道的电阻、电容、电感品牌(最好包括国内、国外品牌)。 电阻: 美国:AVX、VISHAY 威世 日本:KOA 兴亚、Kyocera 京瓷、muRata 村田、Panasonic 松下、ROHM 罗姆、susumu、TDK 台湾: LIZ 丽智、PHYCOM 飞元、RALEC 旺诠、ROYALOHM 厚生、SUPEROHM 美隆、TA-I 大毅、TMTEC 泰铭、TOKEN 德键、TYOHM 幸亚、UniOhm 厚声、VITROHM、VIKING 光颉、WALSIN 华新科、YAGEO 国巨 新加坡:ASJ 中国:FH 风华、捷比信 电容: 美国:AVX、KEMET 基美、Skywell 泽天、VISHAY 威世 英国:NOVER 诺华德国:EPCOS、WIMA 威马丹麦:JENSEN 战神 日本:ELNA 伊娜、FUJITSU 富士通、HITACHI 日立、KOA 兴亚、Kyocera 京瓷、Matsushita 松下、muRata 村田、NEC、 nichicon(蓝宝石)尼吉康、Nippon Chemi-Con(黑金刚、嘉美工)日本化工、Panasonic 松下、Raycon 威康、Rubycon(红 宝石)、SANYO 三洋、TAIYO YUDEN 太诱、TDK、TK 东信 韩国: SAMSUNG 三星、SAMWHA 三和、SAMYOUNG 三莹 台湾:CAPSUN、CAPXON(丰宾)凯普松、Chocon、Choyo、ELITE 金山、EVERCON、EYANG 宇阳、GEMCON 至美、 GSC 杰商、G-Luxon世昕、HEC 禾伸堂、HERMEI 合美电机、JACKCON 融欣、JPCON 正邦、LELON 立隆、LTEC 辉城、 OST 奥斯特、SACON 士康、SUSCON 冠佐、TAICON 台康、TEAPO 智宝、WALSIN 华新科、YAGEO 国巨 香港:FUJICON 富之光、SAMXON 万裕中国:AiSHi 艾华科技、Chang 常州华威电子、FCON 深圳金富康、FH 广东 风华、HEC 东阳光、JIANGHAI 南通江海、JICON 吉光电子、LM 佛山利明、R.M 佛山三水日明电子、Rukycon 海丰三力、 Sancon 海门三鑫、SEACON 深圳鑫龙茂电子、SHENGDA 扬州升达、TAI-TECH 台庆、TF 南通同飞、TEAMYOUNG 天 扬、QIFA 奇发电子 电感: 美国:AEM、AVX、Coilcraft 线艺、Pulse 普思、VISHAY 威世 德国:EPCOS、WE 日本:KOA 兴亚、muRata 村田、Panasonic 松下、sumida 胜美达、TAIYO YUDEN 太诱、TDK、TOKO、TOREX 特瑞仕 台湾:CHILISIN 奇力新、https://www.doczj.com/doc/4f11686603.html,yers 美磊、TAI-TECH 台庆、TOKEN 德键、VIKING 光颉、WALSIN 华新科、YAGEO 国 巨 中国:Gausstek 丰晶、GLE 格莱尔、FH 风华、CODACA 科达嘉、Sunlord 顺络、紫泰荆、肇庆英达

个面试常见问题及经典回答

1、请你自我介绍一下自己好吗?回答提示:一般人回答这个问题过于平常,只说姓名、年龄、爱好、工作经验,这些在简历上都有。其实,企业最希望知道的是求职者能否胜任工作,包括:最强的技能、最深入研究的知识领域、个性中最积极的部分、做过的最成功的事,主要的成就等,这些都可以和学习无关,也可以和学习有关,但要突出积极的个性和做事的能力,说得合情合理企业才会相信。企业很重视一个人的礼貌,求职者要尊重考官,在回答每个问题之后都说一句“谢谢”,企业喜欢有礼貌的求职者。 2、你觉得你个性上最大的优点是什么?回答提示:沉着冷静、条理清楚、立场坚定、顽强向上、乐于助人和关心他人、适应能力和幽默感、乐观和友爱。我在北大青鸟经过一到两年的培训及项目实战,加上实习工作,使我适合这份工作。 3、说说你最大的缺点?回答提示:这个问题企业问的概率很大,通常不希望听到直接回答的缺点是什么等,如果求职者说自己小心眼、爱忌妒人、非常懒、脾气大、工作效率低,企业肯定不会录用你。绝对不要自作聪明地回答“我最大的缺点是过于追求完美”,有的人以为这样回答会显得自己比较出色,但事实上,他已经岌岌可危了。企业喜欢求职者从自己的优点说起,中间加一些小缺点,最后再把问题转

回到优点上,突出优点的部分,企业喜欢聪明的求职者。 4、你对薪资的要求?回答提示:如果你对薪酬的要求太低,那显然贬低自己的能力;如果你对薪酬的要求太高,那又会显得你分量过重,公司受用不起。一些雇主通常都事先对求聘的职位定下开支预算,因而他们第一次提出的价钱往往是他们所能给予的最高价钱,他们问你只不过想证实一下这笔钱是否足以引起你对该工作的兴趣。回答样本一:我对工资没有硬性要求,我相信贵公司在处理我的问题上会友善合理。我注重的是找对工作机会,所以只要条件公平,我则不会计较太多。回答样本二:我受过系统的软件编程的训练,不需要进行大量的培训,而且我本人也对编程特别感兴趣。因此,我希望公司能根据我的情况和市场标准的水平,给我合理的薪水。 回答样本三:如果你必须自己说出具体数目,请不要说一个宽泛的范围,那样你将只能得到最低限度的数字。最好给出一个具体的数字,这样表明你已经对当今的人才市场作了调查,知道像自己这样学历的雇员有什么样的价值。 5、你对加班的看法?回答提示:实际上好多公司问这个问题,并不证明一定要加班,只是想测试你是否愿意为公司奉献。

公务员面试试题经典100题及答案

※1、你有一个非常好的工作设想,你经过实际调查认为这个设想既科学,又可行,但你的领 导和同事们很固执,你采取什么办法说服他们与你合作? ※2、在你朋友当中,有两位朋友由于发生一些矛盾而中断往来,如果需要协调他们之间的关系,你会如何做? ※3、你为什么要报考国家公务员(公安)? ※4、谈谈你的个人简历和家庭情况(不能透露自己的姓名)? ※5、以前我们的计划经济讲究公平,而现在的市场经济讲究效率,请你就这两点谈谈你的看法。 ※6、你刚到单位工作,找你的电话很多,你打给别人的电话也很多,有一位老同志看不过眼, 批评了你,你会怎么做? ※7、你认为你身边的某人犯了错误你会怎么做? ※8、你认为 DY是邪教吗?为什么? ※9、谈谈你对本次公务员考试的看法和建议? ※10、工作中你难以和同事、上司相处,你该怎么办? ※11、你觉的工作后,工作的单位与你的理想有很大的距离,谈谈你的想法? ※12、你的工作计划得到了单位局长的批准,而你部门科长且不同你的计划,你给怎么处理? ※13、领导让你下乡做扶贫工作,你该怎么制定计划? ※14、谈谈你对社会腐败现象的看法? ※15、你所学的知识,工作经验与考的职位之间有什么对应性? ※16、有人拿者介绍信说是灾区来的要你们部门捐款,你怎么办? ※17、谈谈你对“有权不用,过期作废”和“为官一方,造福一方”的看法? ※18、中国已经加入 WTO,谈谈 WTO对中国的经济、政治、文化的影响? ※19、新领导如何对待前任旧领导? ※20、谈谈你对代沟的看法?如果你与领导产生代沟上的问题,你该怎么处理?

1、你参加过什么工作,有什么体会? 2、当你和领导意见有冲突时,你如何做? 3、现在我市在打造“信用宁波”,你该怎么做? 4、谈谈你过去的学习和工作,认为最出色的一件事情,具体的说? 5、你手头上有许多重要的工作,你的领导又交给你一件任务,而你没有多余的时间,你如何处理这件事情? 6、在完成某项工作时,你认为领导要求的方式不是最好的,自己还有更好的方法,你该怎么办? 7、你刚上班没有多久就连续被偷了两辆自行车,你的同学让你买赃车,你怎么办? 8、培根说:“金钱是忠实的男仆,也是恶毒女主人”,你怎么看? 9、有人说:“腐败是经济的润滑剂”,你怎么看? 10、广西有位初一女生因受不了军训,吃洗衣粉自杀,你怎么看? 11、现在有些人收入比公务员高,有些人心理不平衡了,你怎么看? 12、现在学生在升学是摆“谢师宴”,你怎么看? 13、你去银行取钱,但是人很多,这时你会怎么做? 14、一个会议上,分成两派意见,大家争执不下,如果你是主持人,这时你会怎么做? 15、你对反腐败的问题是怎么看的? 16、如果你遇到挫折你会怎么做?、 17、你觉得现在的公务员素质怎么样,请你谈谈你的看法? 18、如果国庆节休假与加班发生了冲突,请你谈谈工作与加班问题? 19、对目前年轻人以游戏心态从事工作的看法? 公务员面试试题汇编及答案3

大学生面试15个经典问题与答案

大学生面试20个经典问题与答案 面试是大学生就业关键一关,要知己知彼,百战不殆。下面由首席大学生就业顾问、着名职业生涯规划专家:李震东老师向大家介绍面试问题及回答思路:? 问题一:“请你自我介绍一下”? 我就读于华南理工大学工商管理学院,我的专业是国际经济与贸易,此外还辅修了法学.? 在校期间,除了学习课本,我比较喜欢参加一些课外活动.包括发传单,做家庭教师,参加各种比赛和项目.主要就是简历上介绍的那些.? 我比较喜欢踢足球,看各种企业培训的讲座.? 不知道您对哪些方面还需要进一步了解.? 思路:? 1、这是面试的必考题目。? 2、介绍内容要与个人简历相一致。? 3、表述方式上尽量口语化。? 4、要切中要害,不谈无关、无用的内容。? 5、条理要清晰,层次要分明。? 6、事先最好以文字的形式写好背熟。? 问题二:“谈谈你的家庭情况”? 思路:? 1、况对于了解应聘者的性格、观念、心态等有一定的作用,这是招聘单位问该问题的主要原因。? 2、简单地罗列家庭人口。? 3、宜强调温馨和睦的家庭氛围。? 4、宜强调父母对自己教育的重视。? 5、宜强调各位家庭成员的良好状况。? 6、宜强调家庭成员对自己工作的支持。? 7、宜强调自己对家庭的责任感。? 问题三:最能概括你自己的三个词是什么?? 思路:我经常用的三个词是:适应能力强,有责任心和做事有始终,结合具体例子向主考官解释,使他们觉得你具有发展潜力。? 问题四:“你有什么业余爱好?”? 思路:? 1、业余爱好能在一定程度上反映应聘者的性格、观念、心态,这是招聘单位问该问题的主要原因。? 2、最好不要说自己没有业余爱好。?

3、不要说自己有那些庸俗的、令人感觉不好的爱好。? 4、最好不要说自己仅限于读书、听音乐、上网,否则可能令面试官怀疑应聘者性格孤僻。? 5、最好能有一些户外的业余爱好来“点缀”你的形象。? 6、找一些富于团体合作精神的。这里有一个真实的故事:有人被否决掉,因为他的爱好是深海潜水。主考官说:因为这是一项单人活动,我不敢肯定他能否适应团体工作。? 问题五:“你最崇拜谁?”? 思路:? 1、最崇拜的人能在一定程度上反映应聘者的性格、观念、心态,这是面试官问该问题的主要原因。? 2、不宜说自己谁都不崇拜。? 3、不宜说崇拜自己。? 4、不宜说崇拜一个虚幻的、或是不知名的人。? 5、不宜说崇拜一个明显具有负面形象的人。? 6、所崇拜的人人最好与自己所应聘的工作能“搭”上关系。? 7、最好说出自己所崇拜的人的哪些品质、哪些思想感染着自己、鼓舞着自己。? ? 问题六:“你的座右铭是什么?”? 思路:? 1、座右铭能在一定程度上反映应聘者的性格、观念、心态,这是面试官问这个问题的主要原因。? 2、不宜说那些医引起不好联想的座右铭。? 3、不宜说那些太抽象的座右铭。? 4、不宜说太长的座右铭。? 5、座右铭最好能反映出自己某种优秀品质。? 6、参考答案——“只为成功找方法,不为失败找借口”。? ? 问题七:“谈谈你的缺点”? 思路:? 1、不宜说自己没缺点。? 2、不宜把那些明显的优点说成缺点。? 3、不宜说出严重影响所应聘工作的缺点。? 4、不宜说出令人不放心、不舒服的缺点。? 5、可以说出一些对于所应聘工作“无关紧要”的缺点,甚至是一些表面上看是缺点,从工作的角度看却是优点的缺点.。绝对不要自作聪明地回答“我最大的缺点是过于追求完美”,有的人以为这样回答会显得自己比较出色,但事实上,他已经岌芨可危了。? 问题八:“谈一谈你的一次失败经历”?

100个面试问题和答案

工作动机、个人愿望 (1)问题:请给我们谈谈你自己的一些情况 回答:简要的描述你的相关工作经历以及你的一些特征,包括与人相处的能力和个人的性格特征。如果你一下子不能够确定面试者到底需要什么样的内容,你可以这样说:“有没有什么您特别感兴趣的范围?” 点评:企业以此来判断是否应该聘用你。通过你的谈论,可以看出你想的是如何为公司效力还是那些会影响工作的个人问题。当然,还可以知道你的一些背景。 (2)问题:你是哪年出生的?你是哪所大学毕业的?等等 回答:我是XXXX年出生的。我是XX大学毕业的。 点评:这类问题至为关键的是要针对每个问题简洁明了的回答,不可拖泥带水,也不必再加什么说明。完全不必再画蛇添足的说“我属X,今年XX岁”之类的话。至于专业等或许主考官接下来的问题就是针对此而言的,故而不必迫不及待和盘托出。 (3)问题:请谈一下你对公司的看法,为什么你想来公司工作? 回答:可根据你先前对该公司的情报收集,叙述一下你对公司的了解。适当的对公司的声誉、产品和发展情况予以赞美。还可以提提你为了了解公司的情况所做的努力然后就说你非常喜欢这个工作,而且你的能力也非常适合并能胜任这份工作。 点评:此问目的测试一下你对公司的了解和喜欢的程度,看看你的能力是否符合公司的要求和方向。看看你是真正地愿意为公司效力,还是仅仅冲着公司的福利、声望和工作的稳定。 (4)问题:你认为对你来说现在找一份工作是不是不太容易,或者你很需要这份工作? 回答: 1.是的。 2.我看不见得。 点评: 一般按1回答,一切便大功告成。 有些同学为了显示自己的“不卑不亢“,强调个人尊严,故按2回答。结果,用人单位打消了录用该生的念头,理由是:“此人比较傲“一句话,断送了该生一次较好的就业机会。 (5)问题:你是怎么应聘到我们公司的? 回答:贵公司是国际上有名的汽车工业公司,虽然我学的专业不是汽车专业,但我一直留意、关心贵公司的发展,特别是贵公司注重对员工的培训,更让我心动,另外象贵公司这样大的企业,我想是各种专业人才都需要的,便毅然前来应聘。 点评:该毕业生的专业虽然不是该公司紧缺的专业,但他分析了公司招聘职位的具体要求,认为可以应试该公司的某一种职位要求。(如管理、营销、秘书),如食品工程专业的求职面远不只局限于食品的加工企业,可延伸至饮品、酒类、保健品、调味品甚至酒楼等多个行业。都会有适合自己的职位。

教师结构化面试经典100题

教师结构化面试经典100题 第一讲考情介绍及自我认知 一、什么是结构化面试 结构化面试也称标准化面试,是根据所制定的评价指标,运用特定的问题、评价方法和评价标准,严格遵循特定程序,通过测评人员与应聘者进行语言交流,对应聘者进行评价的标准化过程。 二、结构化面试的一般构成 1、测评要素的载体:题目 2、题目数量:2题 3、考官数量:7‐9名(另有计时员、记分员、监督员) 4、时间限制:题目数*分钟(一般来说) 三、结构化面试常见题型 1、自我认知 2、人际沟通 3、组织管理 4、应急应变 5、综合分析 6、教育教学 四、自我认知类真题及解答 (一)自我认知类题目要展现的内容1、这个岗位是适合我的2、我是适合这个岗位的 (二)自我认知类题目的解题方法自我梳理+职位梳理=二者匹配 (三)答题原则与技巧 1.自我认知与职业匹配——投射性职位需要什么,我就有什么 2.虚实相合:用虚词更要用实词、准确打击教师网课程第[2]页客服电话:4006-01-9999多举例子,用事实、数据说话 3.注意发掘自己的特质:人无我有、人有我优真实可信,情感打动 4.注意言语的表达方式(四)【真题解析】 1、有2个名额的优秀教师评选,你会怎么做

【思路点拨】此题属于考察教师职业积极性的问题,面对优秀教师的评选,看考生是否能够积极争取,以及如何面对竞争中的压力和可能的失败,因此是一道典型的自我认知类的题目。对于此题可从说明意义——阐释态度——自我梳理——总结提升的思路进行回答。 (说明意义)开展优秀教师评选能够加强教师队伍建设,提高广大教职工的工作积极性;对于个人,也有利于精进专业水平、增加工作热忱。 (阐释态度)遇到有2个名额的优秀教师评选,虽然名额有限,但这也是对自己能力的一次审核。我会本着积极的态度参加评选,客观全面的展现自己平日里的工作成绩。 (自我梳理)在评选过程中,我会展示自己的专业素质和教学水平。在工作中,我一直秉承着对学生耐心和教学认真的态度、努力提升自己的教学水平,在过去的教师生涯中,我一直爱岗敬业,勤勤恳恳,上课前备学生备教材,课堂中采用新课改倡导下的新型教学观,课后及时自我总结。平时积极向老教师请教,交流经验,和学生以及同事之间建立了融洽的关系。所以,我相信自己有实力参加优秀教师的评选。 (总结提升)美国教育家波斯纳曾提出过“教师的成长=经验+反思”,通过这次评选,我会对过去的自己及时多方面的总结和提升。荣誉只能说明过去,不论有没有评选上,我都会继续高标准来要求自己,始终以学习者的心态在专业上丰富知识储备,多向模范教师学习,提升教研水平,爱工作爱学生,做一位有爱心,耐心和责任心的好老师。 2、你最喜欢的电视节目是什么,为什么 【思路点拨】对于“你最喜欢的XXX”都是属于自我认知类题目中关于价值观的问题。在回答这一类问题的时候,一定要注意树立积极向上的价值观,并且要和教师岗位相结合。这类问题的答题思路一般都可以用是什么——为什么——怎么做来进行解答。 【参考答案】 (是什么)我最喜欢的电视节目是最近热播的《爸爸去哪儿》,这是一档真人

教师结构化面试经典真题(非常全)

教师结构化面试真题 第一讲考情介绍及自我认知............................................... .. (1) 第二讲人际沟通类 (2) 第三讲组织管理............................................................................................................ (15) 第四讲应急应变...................................................................................................... ... .. (17) 第五讲教育教学....................................................................................................... .. (24) 第六讲综合分析..................................................................................................... .. (37) 第一讲考情介绍及自我认知 一、什么是结构化面试 结构化面试也称标准化面试,是根据所制定的评价指标,运用特定的问题、评价方法和评价标准,严格遵循特定程序,通过测评人员与应聘者进行语言交流,对应聘者进行评价的标准化过程。 二、结构化面试的一般构成 1、测评要素的载体:题目 2、题目数量:2题 3、考官数量:7‐9名(另有计时员、记分员、监督员) 4、时间限制:题目数*2.5分钟(一般来说) 三、结构化面试常见题型 1、自我认知 2、人际沟通 3、组织管理 4、应急应变 5、综合分析 6、教育教学 四、自我认知类真题及解答 (一)自我认知类题目要展现的内容1、这个岗位是适合我的2、我是适合这个岗位的(二)自我认知类题目的解题方法自我梳理+职位梳理=二者匹配 (三)答题原则与技巧 1.自我认知与职业匹配——投射性职位需要什么,我就有什么 2.虚实相合:用虚词更要用实词、准确打击教师网课程第[2]页客服电话:4006-01-9999多举例子,用事实、数据说话 3.注意发掘自己的特质:人无我有、人有我优真实可信,情感打动 4.注意言语的表达方式(四)【真题解析】 1、有2个名额的优秀教师评选,你会怎么做? 【思路点拨】此题属于考察教师职业积极性的问题,面对优秀教师的评选,看考生是否能够积极争取,以及如何面对竞争中的压力和可能的失败,因此是一道典型的自我认知类的题目。对于此题可从说明意义——阐释态度——自我梳理——总结提升的思路进行回答。(说明意义)开展优秀教师评选能够加强教师队伍建设,提高广大教职工的工作积极性;对于个人,也有利于精进专业水平、增加工作热忱。 (阐释态度)遇到有2个名额的优秀教师评选,虽然名额有限,但这也是对自己能力的一次审核。我会本着积极的态度参加评选,客观全面的展现自己平日里的工作成绩。 (自我梳理)在评选过程中,我会展示自己的专业素质和教学水平。在工作中,我一直秉承着对学生耐心和教学认真的态度、努力提升自己的教学水平,在过去的教师生涯中,我一直爱岗敬业,勤勤恳恳,上课前备学生备教材,课堂中采用新课改倡导下的新型教学观,课后及时自我总结。平时积极向老教师请教,交流经验,和学生以及同事之间建立了融洽的关系。所以,我相信自己有实力参加优秀教师的评选。

最全的学生会面试问题汇总(100题)知识交流

最全的学生会面试问题汇总(100题) 作者:放慢心跳来源:发表于2017-02-08被阅读234次 史上最全的大学学生会面试问题及答案 1.你对学生会是怎么看的?对于学生会你有什么想法? 学生会是一个集体,我们学生会每一个成员都要时刻维护学生会的优良形象,做好模范带好头。要时时以身作则,处处树立榜样,秉公办理各类事情,不能有丝毫的私心,要敢于批评与自我批评,善于听取不同意见,完善自己,促进工作。不但在同学们中树立自己的良好形象,而且要让同学们充分信任学生会,这一切要求我们努力努力再努力。当然,这一切都要首先从我自己做起,经得起检验与考验。 2.你为什么要加入学生会? 回答这个问题时,一定要积极正面,说白了从两点说就行:一是为了锻炼自己多学东西让自己的大学生活丰富多彩;二是为大家服务,为系里做点什么。个人觉得从这两点答就行。如果你是要加入哪个部门,具体问到哪个部门了你就在多少就这个部门大一点。 3.你觉得你的优势是什么? 会一些特长了更好,不会了,可以这样回答。我有三颗心作为我的优势:细心、热心、耐心。等。 4.如果你竞选的部门人太多,你又很优秀,要把你调到其他部门,你愿意去么?

这个根据你的意愿了,语言给完善下就行。很多时候也会就一些你竞选的部门提一些问题,你在这之前要多了解下这些部门回答也就没问题了。祝福你朋友。 5.谈谈你对自己的认识。(以组织部为例) 该问题主要考察新生是否有培养和发展的潜力,组织部不是一个组织活动的部门,它的职责主要是负责对各班团支部团费收缴,团员证的注册及团员档案管理工作。督促支部过好组织生活,对各班团支部组织生活,团队活动的指导和评估。负责完成院团总支交办的其它事务等等。从以上介绍可以看出组织部的工作非常琐碎,需要塌实有能力和有一定头脑的学生担任!所以组织部招人更看重你是否稳重、有想法、有文笔,是否自信、能独立开展团会的能力等!回答这个问题就要拣自己在这些方面的特长,切不可有什么说什么,要说重点。 6.既然你想入组织部,那你对组织部有什么认识? 这个问题考察的是新生对组织部的认识,可以这样回答:根据我对组织部短暂的了解,我发现组织部主要职责是负责对各班团支部团费收缴,团员证的注册及团员档案管理工作。督促支部过好组织生活,对各班团支部组织生活,团队活动的指导和评估。负责完成院团总支交办的其它事务等。通过回答可以给面试官感觉是你是经过对组织部工作的了解才报名组织部面试的,说明你不是盲目的进组织部。 7.在活动中遇到了什么困难,你又是如何解决的?

十个面试经典问题的经典回答知识分享

在面试过程中,应聘者对面试官所提出问题的回答,往往是决定此应聘者去留的重要依据。如果你真的“老老实实”地应对面试官的发问,而忽略了面试的规律和回答问题的思维方式,恐怕会直接影响你在面试官心中留下的印象。即使你足够优秀,但在表达上欠了火候,这显然非常划不来。所以,学会分析面试官发问的真正目的,并且做出合理及全面的回答,这的确可以成为通过面试的重要砝码。 问题一:“请你自我介绍一下” 这个问题是面试过程中最普遍的“开场白”,很多人在介绍自己时习惯“背简历”,虽然这并不是错误的做法,但如果你参加的是群体面试,像白开水一样的自我介绍显然会使你淹没在茫茫面试者中。不如把个人介绍的内容进行重新组合,或者花些心思在叙述中增添亮点,就可以给面试官留下“与众不同”的印象。 回答问题要点: 1、涉及到简历内容的自我介绍,内容一定要与个人简历相一致。 2、表述方式上尽量口语化。 3、要切中要害,不谈无关、无用的内容。 4、条理要清晰,层次要分明。 5、事先最好以文字的形式写好背熟。 问题二:“你为什么选择我们公司?” 有些面试者在回答这个问题的时候,多是从个人角度展开话题,比如“希望有更好的发展空间”、“希望得到锻炼的机会”、“希望有能得到更好的待遇”等等。但事实上,面试官是想知道你的面试动机和公司招聘岗位的目的是否一致。也就是说,面试官更关注你来到公司后能给公司带来什么,而并非公司能给你带来什么。 回答问题要点: 1、面试官试图从中了解你求职的动机、愿望以及对此项工作的态度。 2、建议从行业、企业和岗位这三个角度来回答。 问题三:“你能为我们做什么?” 这个问题与上面的问题可以归属同一个类型,只不过问得更为直接。作为应聘者,之前做好“功课”是必须的,比如了解公司的概况、产品、服务,以及你所应聘的岗位要求,然后充分结合所应聘公司的要求展开话题即可。 回答问题要点: 1、基本原则上“投其所好”。 2、招聘单位一般会录用这样的应聘者:基本符合条件、对这份共组感兴趣、有足够的信心。 3、回答这个问题前应聘者最好能“先发制人”,了解招聘单位期待这个职位所能发挥的作

结构化面试经典100题

结构化面试经典100题 1.请谈谈你为什么选择当一名老师? 2.你最讨厌的老师是什么样子的? 3.有人说现在的班主任像保姆,如何看待? 4.如何能提高教师的自身素质? 5.如何对待做错题的学生以及后进生? 6.教师要耐得住寂寞、清贫、教师要守住这片净土,你为什么选择教师这个行业?参加教师招考进行教师队伍你的动机是什么?你觉得做教师的伟大之处在哪里? 7.新学期领导调你到一个双差班去当班主任,你怎么办? 8.作为老师,你非常认真教学,但是效果不好,你怎么办? 9.你不喜欢什么样的学生? 10.王老师虽然教学努力,但是学生对他的评价却不高。对此你怎么看? 11.“教学有法,但无定法,贵在得法”,对此,你怎么看? 12.“教师是人类灵魂的工程师,对此,你怎么理解? 13.新来一名转学学生,其他学生嘲笑他,你怎么看? 13.作为班主任,有些学生捣乱。屡教不改,你该怎么办? 14.班内一位同学上课不认真听讲,也不做作业,你已经跟他交流过多次仍不知悔改,你怎么办? 15.你眼中的好学生是怎样的? 16.对于调皮不听话的学生,你怎么对待这样的学生? 17.如果私底下有同学议论你,对你表示不满,你怎么处理? 18.李老师对于上课不听课的同学有两个绝招,第一是让他们起来回答问题,第二是让他们站起来听一节课,对此你怎么看? 19.任课老师认为德育教育是班主任的工作,和自己无关,你怎么看?

20.教学过程中遇到困难,你怎么办? 21.“教师无小节,处处皆楷模”,对于这句话,你怎么看? 22.“吾爱吾师,吾更爱真理”,请你谈谈对这句话的理解。 23.你最尊敬的教育家是谁,为什么? 24.你平常看的教育教学类的书籍和杂志有哪些? 25.当一个学生上课回答问题不当被大家嘲笑时,作为老师该如何处理?为什么? 26.如果学生当面指责你,你会如何处理? 27.作为老师,当你在上课时台下有名学生在不停地搞小动作,你该怎样处理? 28.你正在上课有学生打架,你怎么办? 29.你们班新转来一名学生,班里同学排斥他,作为班主任,对于这件事你怎么做? 30.作为一名一年级的新班主任,你如何让刚上一年级的学生尽快融入新环境? 31.学生无故缺课、经常迟到。你会怎么处理? 32.小明的考试成绩不理想。他伤心地哭了,作为教师的你会怎么办? 33.你教的学生很任性、急躁、不爱学习,你该如何办? 34.如果你的一个学生由于父母离异,远离同学的交往圈子。不愿意参加集体活动,作为教师.你将怎样帮他走出阴影? 35. 面对追星族的学生应该怎么办? 36.当学生上学佩带手机时应该怎么办? 37.面对贫困家庭的学生应该怎么办? 38.当学生上课不注意听讲时应该怎么办?

相关主题
文本预览
相关文档 最新文档