当前位置:文档之家› xilinix官方SOPC指导

xilinix官方SOPC指导

xilinix官方SOPC指导
xilinix官方SOPC指导

实验4 创建基本应用程序

Targeting MicroBlaze on the Spartan-3E Starter Kit

创建基本的应用程序实验: PowerPC 处理器

实验介绍

本实验指导我们通过处理器创建一个基本的应用程序。应用程序将 控制Spartan-3E starter kit 上的LEDs 。 你将添加一个 OPB BRAM 控制器,和修改OPB BRAM 中原有的连接部分插入文本。最后你将会发现系统就像你当初设计的一样运行。

实验目的

完成本次实验,你将具有以下会达到以下目的: ? 添加一个外部 RAM 控制器

? 编写一个访问外围设备IP 的基本应用程序 ? 更新连接文本

? 划分可执行的部分到 LMB 和OPB 存储空间 ? 生成一个位文件

? 下载位文件并在 Spartan-3E 开发板上检验

实验步骤

前三个实验为处理器系统定义了硬件。这个实验由几个步骤组成,包括添加一个存储控制器,编写一个访问Spartan-3E 开发板上的LED 的基本应用软件。以下给出的每个总体步骤下面,你都可以发现有一步一步的详细操作说明和的图解说明。如果你能完成某一步骤,你可以跳过那些详细的说明,直接进入下一步。

更新项目 步骤1

创建在 d:\Lab\下创建 lab4mb 文件夹。可以按照前三个实验的流程创建一个工程,也可以直接把lab3内的文件拷贝到此目录。启动 Xilinx Platform Studio (XPS) ,打开

C:\xup\embedded\labs\lab4工程 (如果你想继续你的设计)。

n 如果你想继续你的设计,那么C:\xup\embedded\ppc\labs 目录下新建一个lab4文件夹,

在拷贝lab3的文件到此文件夹。 o 点击 Start → Programs → Xilinx Platform Studio 8.2i → Xilinx Platform Studio 打开

XPS

Step 1: Update the design

Step 2: Create a

BSP

Step 3: Update a Basic C Program

Step 4: Linker

Script

p选择Open Recent Project,点击 OK,打开C:xup\embedded\ppc\labs\lab4

q选择system.xmp打开工程

r从IP目录中添加下列IP到嵌入式设计中

?opb_bram_if_cntlr 1.00.a

?bram_block 1.00.a

s更改实例的名称,对照下图建立连接。

图4-1 添加内部 OPB 存储控制器

t在addresses 表中,选择为opb_bram控制器分配8K的空间,并点击Generate Addresses(生成地址)

图4-2 BRAM内存控制器的地址和存储空间大小的详细说明

创建一个BSP

步骤2

在 Software Platform Settings 下查看各项设置。 BSP 是基于设计中的外设创建的 。

n 选择 Software → Software Platform Settings

图4-3 microblaze_0 实例的Software Platform Settings (软件设置)对话框 注意:你不但可以选择系统中的各个外设的驱动,还可以选择它们的内核和操作系统。另外,如果要用到库的话,还可以选择库文件。

Step 1: Update the

design

Step 2: Create a

BSP

Step 3: Update a Basic C Program

Step 4: Linker

Script

o 选择 Software Platform 标签,检校下列参数。:

图4-4 PPC405_0 实例的Software Platform Parameters (软件参数)

p 选择 OS and Libraries 标签,确认 stdin 和 stdout 选择 RS232_DCE .,

microblaze_exceptions 和 enable_sw_intrusive_profiling 的Current Value 一项选 false ,其它的都按下图所示的设置。

图4-5 OS 数据参数

q 在Drivers (驱动)部分,检查确认系统中的各个外设的驱动。

Figure 4-6. Driver Configuration

r在Interrupt Handlers(中断管理)部分,注意所有的外设都应该是没有中断,因为本设计中没有外设支持中断。

s点击OK 保存设置。

生成 BSP.

n 在XPS 中,双击 System 图标下的 system.mss 打开工程的MSS 文件。

图4-7 System 标签

XPS 把SPS(Software Platform Settings)中设置的参数都分类写到 system.mss 文件中。

o 查看 MSS 文件,你会发现文件中的参数都是和SPS 中设置的相对应,关闭 system.mss

文件。 p 选择Software → Generate Libraries and BSPs 或者点击

,生成BSP

本操作是在system.mss 中运行LibGen ,生成BSP 库文件。 1. 列出在lab4文件夹中的microblaze_0 文件夹下创建的子文件夹,它们的内

容和它们的用途。

________________________________________________________________

________________________________________________________________

________________________________________________________________

?

更新基本 C 文件 Step 3

更新 Lab 3中的C 程序,实现LED 显示DIP 的开关设置。

n 在 Applications 标签下,拷贝lab3.c 中的TestApp_Memory 工程到 lab4.c

注意, lab4.c 和lab3.c 是一样的,你将通过添加代码扩展 功能,实现LEDs 的显示开

关控制。.

o 在System Assembly View (系统体视图)中,右击 LEDs_8Bit 外设,选择Driver:

gpio_v2_01_a J View API Documentation

图4-8 访问外设 API 文件

o 在页面的顶部,选择 File List 查看与 GPIO 相关的C 文件和头文件。

p 点击头文件 xgpio.h 查看调用GPIO 可以实现的功能。

在软件应用中必须进行如下操作,才能对GPIO 进行写入: 1) GPIO 初始化 2) 设置数据方向 3)写数据

o 找出下列功能的描述:

XGpio_Initialize (XGpio *InstancePtr, Xuint16 DeviceId)

Step 1: Update the design

Step 2: Create a

BSP

Step 3: Update a Basic C Program

Step 4: Linker

Script

°InstancePtr是 XGpio实例的指针。指针指向的地址必须通过调用者进行预先分配。

以后通过 XGpio API操作必须由此指针构成。

°DeviceId是XGpio 组件控制的器件的唯一识别号。通过识别号,可以在调用或升级应用时通过识别号将XGpio类型实例调用到一个特定的器件。

XGpio_SetDataDirection (XGpio * InstancePtr, unsigned Channel, Xuint32 DirectionMask)

°InstancePtr是指向将要使用的Xgpio实例的指针。

°Channel是GPIO(1或2)将要工作的信道。

°DirectionMask是离散信号输入输出标志位。0表示输出,1表示输入。

XGpio_DiscreteWrite (XGpio *InstancePtr, unsigned channel, Xuint32 data)

{InstancePtr. 是指向将要使用的Xgpio实例的指针。

{Channel是GPIO(1或2)将要工作的信道。

{Data写入离散寄存器中的数据

p在Applications 标签页,双击TestApp_Memory项目中microblaze_0 实例的Generated Header: microblaze_0/include/xparameters.h

图4-9 双击 Generated Header 文件

{xparameters.h 文件中的LibGen,提供对驱动功能调用的测试信息。

{在 xparameters.h 文件中, #define后面的是确定 LEDs_8Bit的外设。

#define XPAR_LEDS_8BIT_DEVICE_ID

注意: LEDS_8BIT 是与外设的MHS 文件中指定的实例名称相匹配的。 #define 可以作为 XGpio_Intialize 功能调用使用。 q 如下图所示修改C 语言程序。

图4-10 部分 C 文件

r 保存文件 s 点击编译按钮 ,编译程序。

Note: The number might be different

链接脚本文件步骤 4

分析汇编目标文件和怎样使用

objdump有效地链接它们。打开 Cygwin 页面,执行目标可执行程序,分析输出。

n点击Project → Launch EDK shell 打开Cygwin页面。

o通过cd命令更改路径到C:/xup/embedded/ppc/labs/lab4/TestApp_Memory 。

你可以通过pwd命令检验你的路径。

p在Xygwin 页面窗口下,按提示键入mb-objdump –h executable.elf,列出程序的各个部分,包括各个程序段的起始地址和大小。

Step 1:

Update the

design

Step 2:

Create a

BSP

Step 3:

Create a

Basic C

Program

Step 4:

Linker

Script

图4-11 在OPB BRAM 中.text 目标存放的结果

更改文本部分存放的位置,使它存放在LMB存储器中。重新编译代码,重新执行 objdump命令,分析输出。

n在Applications标签的 Project:TestApp_Memory右击鼠标,选择Generate Linker Script.

Note the start address of

the text section resides

in the opb_bram space

图4-12 生成链接文本

o选择 ilmb_cntlr_dlmb_cntlr 作为指令(.text部分)的存储空间。

图4-13 设置.text部分的LMB BRAM 空间

p确认 Output Linker Script路径设置为 TestApp_Memory/src目录,点击生成。

q重新编译程序,执行到mb-objdump所在位置。

Note the start address now

resides in the LMB BRAM

space

图4-14 LMB BRAM 空间中.text 目标存放的结果

s生成,下载程序,检验实现的功能。推动DIP开关,检验通过开关设置LED亮灭。t关闭工程

结束语

XPS 可以用来定义,开发,集成嵌入式系统所需的各种软的硬的组件。可以为各种外设和

接口定制器件驱动,XPS 同时创建 MSS 文件从侧面来描述整个系统的软件构成。你可以

接着开发和汇编外设功能程序段,从汇编的目标代码和库中生成可执行文件。有必要的

话,你还可以使用链接脚本文件来确定各种存储器中的位置。你可以编辑脚本文件来控制

各个代码段存放在目标存储器中的位置。

答案

A

1.列出在lab4文件夹中的microblaze_0 文件夹下创建的子文件夹,它们的内容和它们的用途:

?code – 空文件夹 – 用来存放可执行文件

?include – 一些头文件 –包含一些外设和功能

?lib – 库文件 – lbc, libm, libxil

?libsrc – 所有库使用的资源

完整的MHS文件

A

# ############################################################################## # Created by Base System Builder Wizard for Xilinx EDK 8.2 Build EDK_Im.14

# Fri Sep 01 11:41:45 2006

# Target Board: Xilinx Spartan-3E Starter Board Rev C

# Family: spartan3e

# Device: XC3S500e

# Package: FG320

# Speed Grade: -4

# Processor: Microblaze

# System clock frequency: 50.000000 MHz

# Debug interface: On-Chip HW Debug Module

# On Chip Memory : 8 KB

# ############################################################################## PARAMETER VERSION = 2.1.0

PORT fpga_0_RS232_DCE_RX_pin = fpga_0_RS232_DCE_RX, DIR = I

PORT fpga_0_RS232_DCE_TX_pin = fpga_0_RS232_DCE_TX, DIR = O

PORT fpga_0_LEDs_8Bit_GPIO_d_out_pin = fpga_0_LEDs_8Bit_GPIO_d_out, DIR = O, VEC = [0:7] PORT sys_clk_pin = dcm_clk_s, DIR = I, SIGIS = CLK, CLK_FREQ = 50000000

PORT sys_rst_pin = sys_rst_s, DIR = I, RST_POLARITY = 1, SIGIS = RST

PORT push_GPIO_in_pin = push_GPIO_in, DIR = I, VEC = [0:3]

PORT dip_GPIO_in_pin = dip_GPIO_in, DIR = I, VEC = [0:3]

PORT lcd_ip_0_lcd_pin = lcd_ip_0_lcd, DIR = O, VEC = [0:6]

BEGIN microblaze

PARAMETER INSTANCE = microblaze_0

PARAMETER HW_VER = 5.00.a

PARAMETER C_USE_FPU = 0

PARAMETER C_DEBUG_ENABLED = 1

PARAMETER C_NUMBER_OF_PC_BRK = 2

BUS_INTERFACE DLMB = dlmb

BUS_INTERFACE ILMB = ilmb

BUS_INTERFACE DOPB = mb_opb

BUS_INTERFACE IOPB = mb_opb

PORT DBG_CAPTURE = DBG_CAPTURE_s

PORT DBG_CLK = DBG_CLK_s

PORT DBG_REG_EN = DBG_REG_EN_s

PORT DBG_TDI = DBG_TDI_s

PORT DBG_TDO = DBG_TDO_s

PORT DBG_UPDATE = DBG_UPDATE_s

END

BEGIN opb_v20

PARAMETER INSTANCE = mb_opb

PARAMETER HW_VER = 1.10.c

PARAMETER C_EXT_RESET_HIGH = 1

PORT SYS_Rst = sys_rst_s

PORT OPB_Clk = sys_clk_s

END

BEGIN opb_mdm

PARAMETER INSTANCE = debug_module

PARAMETER HW_VER = 2.00.a

PARAMETER C_MB_DBG_PORTS = 1

PARAMETER C_USE_UART = 1

PARAMETER C_UART_WIDTH = 8

PARAMETER C_BASEADDR = 0x41400000 PARAMETER C_HIGHADDR = 0x4140ffff

BUS_INTERFACE SOPB = mb_opb

PORT DBG_CAPTURE_0 = DBG_CAPTURE_s PORT DBG_CLK_0 = DBG_CLK_s

PORT DBG_REG_EN_0 = DBG_REG_EN_s

PORT DBG_TDI_0 = DBG_TDI_s

PORT DBG_TDO_0 = DBG_TDO_s

PORT DBG_UPDATE_0 = DBG_UPDATE_s END

BEGIN lmb_v10

PARAMETER INSTANCE = ilmb

PARAMETER HW_VER = 1.00.a

PARAMETER C_EXT_RESET_HIGH = 1

PORT SYS_Rst = sys_rst_s

PORT LMB_Clk = sys_clk_s

END

BEGIN lmb_v10

PARAMETER INSTANCE = dlmb

PARAMETER HW_VER = 1.00.a

PARAMETER C_EXT_RESET_HIGH = 1

PORT SYS_Rst = sys_rst_s

PORT LMB_Clk = sys_clk_s

END

BEGIN lmb_bram_if_cntlr

PARAMETER INSTANCE = dlmb_cntlr

PARAMETER HW_VER = 2.00.a

PARAMETER C_BASEADDR = 0x20002000 PARAMETER C_HIGHADDR = 0x20003fff

BUS_INTERFACE SLMB = dlmb

BUS_INTERFACE BRAM_PORT = dlmb_port END

BEGIN lmb_bram_if_cntlr

PARAMETER INSTANCE = ilmb_cntlr

PARAMETER HW_VER = 2.00.a

PARAMETER C_BASEADDR = 0x20002000 PARAMETER C_HIGHADDR = 0x20003fff

BUS_INTERFACE SLMB = ilmb

BUS_INTERFACE BRAM_PORT = ilmb_port END

BEGIN bram_block

PARAMETER INSTANCE = lmb_bram

PARAMETER HW_VER = 1.00.a

BUS_INTERFACE PORTA = ilmb_port

BUS_INTERFACE PORTB = dlmb_port

END

BEGIN opb_uartlite

PARAMETER INSTANCE = RS232_DCE

PARAMETER HW_VER = 1.00.b

PARAMETER C_BAUDRATE = 115200

PARAMETER C_DATA_BITS = 8

PARAMETER C_ODD_PARITY = 0

PARAMETER C_USE_PARITY = 0

PARAMETER C_CLK_FREQ = 50000000

PARAMETER C_BASEADDR = 0x40600000

PARAMETER C_HIGHADDR = 0x4060ffff

BUS_INTERFACE SOPB = mb_opb

PORT RX = fpga_0_RS232_DCE_RX

PORT TX = fpga_0_RS232_DCE_TX

END

BEGIN opb_gpio

PARAMETER INSTANCE = LEDs_8Bit

PARAMETER HW_VER = 3.01.b

PARAMETER C_GPIO_WIDTH = 8

PARAMETER C_IS_DUAL = 0

PARAMETER C_IS_BIDIR = 0

PARAMETER C_ALL_INPUTS = 0

PARAMETER C_BASEADDR = 0x40040000

PARAMETER C_HIGHADDR = 0x4004ffff

BUS_INTERFACE SOPB = mb_opb

PORT GPIO_d_out = fpga_0_LEDs_8Bit_GPIO_d_out END

BEGIN dcm_module

PARAMETER INSTANCE = dcm_0

PARAMETER HW_VER = 1.00.a

PARAMETER C_CLK0_BUF = TRUE

PARAMETER C_CLKIN_PERIOD = 20.000000

PARAMETER C_CLK_FEEDBACK = 1X

PARAMETER C_EXT_RESET_HIGH = 1

PORT CLKIN = dcm_clk_s

PORT CLK0 = sys_clk_s

PORT CLKFB = sys_clk_s

PORT RST = net_gnd

PORT LOCKED = dcm_0_lock

END

BEGIN opb_gpio

PARAMETER INSTANCE = push

PARAMETER HW_VER = 3.01.b

PARAMETER C_IS_BIDIR = 0

PARAMETER C_ALL_INPUTS = 1

PARAMETER C_GPIO_WIDTH = 4

PARAMETER C_BASEADDR = 0x40000000

PARAMETER C_HIGHADDR = 0x4000ffff

BUS_INTERFACE SOPB = mb_opb

PORT GPIO_in = push_GPIO_in

END

BEGIN opb_gpio

PARAMETER INSTANCE = dip

PARAMETER HW_VER = 3.01.b

PARAMETER C_GPIO_WIDTH = 4

PARAMETER C_IS_BIDIR = 0

PARAMETER C_ALL_INPUTS = 1

PARAMETER C_BASEADDR = 0x40020000

PARAMETER C_HIGHADDR = 0x4002ffff

BUS_INTERFACE SOPB = mb_opb

PORT GPIO_in = dip_GPIO_in

END

BEGIN lcd_ip

PARAMETER INSTANCE = lcd_ip_0

PARAMETER HW_VER = 1.00.a

PARAMETER C_BASEADDR = 0x7f600000

PARAMETER C_HIGHADDR = 0x7f60ffff

BUS_INTERFACE SOPB = mb_opb

PORT lcd = lcd_ip_0_lcd

END

BEGIN opb_bram_if_cntlr

PARAMETER INSTANCE = opb_bram_if_cntlr_0

PARAMETER HW_VER = 1.00.a

PARAMETER c_baseaddr = 0x00000000

PARAMETER c_highaddr = 0x00001fff

BUS_INTERFACE SOPB = mb_opb

BUS_INTERFACE PORTA = opb_bram_if_cntlr_0_PORTA END

BEGIN bram_block

PARAMETER INSTANCE = opb_bram

PARAMETER HW_VER = 1.00.a

BUS_INTERFACE PORTA = opb_bram_if_cntlr_0_PORTA END

材料_基于FPGA的SOPC流水灯演示实验

基于FPGA的SOPC演示实验 秦菁2012-07-10演示实验:流水灯 实验内容:将8位LED灯点亮,进行流水灯控制 实验步骤: (1)在Quartus II中建立工程 (2)用SOPC builder建立Nios系统模块 (3)在Quartus II中的图形编辑界面进行引脚连接工作等 (4)编译工程后下载到FPGA中 (5)在Nios II IDE中根据硬件建立软件工程 (6)编译后,经过简单设置下载到FPGA中进行调试、实验 随着嵌入式处理器、专用数字器件和DSP算法以IP核的形式嵌入FPGA中,以单片FPGA完成整个嵌入式系统数字部分的设计已经成为现实。此实验主要通过运用Altera公司提供的Nios II软核处理器,了解SOPC的基本概念和基于FPGA的嵌入式系统的开发方法,掌握SOPC硬件开发工具,软件调试工具的使用。下面主要从硬件和软件的部分进行介绍。 一、硬件部分设计 (1)运行Quartus II软件,选择File/New Project Wizard菜单,选择工程目录(自定义)、工程名以及顶层文件名为led_test,在选择器件设置对话框中选择目标器件为Cyclone系列的EP1C6Q240C8N,建立新工程。 (2)双击左侧Entitiy框中的器件名,弹出如下对话框,点击Device and pin options/Unused pins,在Reserved all unused pins中选择As input tri-stated。

(3)选择Tools/SOPC Builder菜单项,或者点击Quartus II软件右上方工具栏的,打开SOPC Builder程序。弹出Create New System对话框。在System Name 文本框中键入nios32(自拟,但与工程名不同),选择语言为VHDL,单击确定 (4)确认Device Family中我们选择的是Cyclone,系统频率为50MHz。如图: (5)下面开始添加系统需要的元件:Nios II32位CPU、JTAG UART Interface、led_pio、RAM。 (5.1)首先添加Nios II32位CPU:双击Nios II Processor,或单击选中后点击 Add按钮,然后在弹出的Nios II Processor设置对话框中设置添加CPU的参数,分别在Core Nios II和JTAG Debug Module选项中选择Nios II/e和level1,其他选项保持默认。Nios II有三种标准:经济型(Nios II/e)、标准型(Nios II/s)、 全功能型(Nios II/f)。本实验中选择经济型。

实验指导书

苯甲酸红外光谱的测绘—溴化钾压片法制样 一、实验目的 1、了解红外光谱仪的基本组成和工作原理。 2、熟悉红外光谱仪的主要应用领域。 3、掌握红外光谱分析时粉末样品的制备及红外透射光谱测试方法。 4、熟悉化合物不同基团的红外吸收频率范围.学会用标准数据库进行图谱检索 及化合物结构鉴定的基本方法。 二、实验原理 红外光谱分析是研究分子振动和转动信息的分子光谱。当化合物受到红外光照射,化合物中某个化学键的振动或转动频率与红外光频率相当时,就会吸收光能,并引起分子永久偶极矩的变化,产生分子振动和转动能级从基态到激发态的跃迁,使相应频率的透射光强度减弱。分子中不同的化学键振动频率不同,会吸收不同频率的红外光,检测并记录透过光强度与波数(1/cm)或波长的关系曲线,就可得到红外光谱。红外光谱反映了分子化学键的特征吸收频率,可用于化合物的结构分析和定量测定。 根据实验技术和应用的不同,我们将红外光划分为三个区域:近红外区(0.75~2.5μm;13158~40001/cm),中红外区(2.5~25μm;4000~4001/cm)和远红外区(25~1000μm;400~101/cm)。分子振动伴随转动大多在中红外区,一般的红外光谱都在此波数区间进行检测。 傅立叶变换红外光谱仪主要由红外光源、迈克尔逊干涉仪、检测器、计算机和记录系统五部分组成。红外光经迈克尔逊干涉仪照射样品后,再经检测器将检测到的信号以干涉图的形式送往计算机,进行傅立叶变换的数学处理,最后得到红外光谱图。

傅立叶变换红外光谱法具有灵敏度高、波数准确、重复性好的优点,可以广泛应用于有机化学、金属有机化学、高分子化学、催化、材料科学、生物学、物理、环境科学、煤结构研究、橡胶工业、石油工业(石油勘探、润滑油、石油分析等)、矿物鉴定、商检、质检、海关、汽车、珠宝、国防科学、农业、食品、生物医学、生物化学、药学、无机和配位化学基础研究、半导体材料、法庭科学(司法鉴定、物证检验等)、气象科学、染织工业、日用化工、原子能科学技术、产品质量监控(远距离光信号光谱测量:实时监控、遥感监测等)等众多方面。 三、仪器和试剂 1、Nicolet 5700 FT-IR红外光谱仪(美国尼高力公司) 2、压片机(日本岛津公司) 3、压片模具(日本岛津公司) 4、玛瑙研钵(日本岛津公司) 5、KBr粉末(光谱纯,美国尼高力公司) 6、苯甲酸(分析纯) 四、实验步骤 1、样品的制备(溴化钾压片法)

频谱分析仪的使用方法

频谱分析仪的使用方法(第一页) 13MHz信号。一般情况下,可以用示波器判断13MHz电路信号的存在与否,以及信号的幅度是否正常,然而,却无法利用示波器确定13MHz电路信号的频率是否正常,用频率计可以确定13MHz电路信号的有无,以及信号的频率是否准确,但却无法用频率计判断信号的幅度是否正常。然而,使用频谱分析仪可迎刃而解,因为频谱分析仪既可检查信号的有无,又可判断信号的频率是否准确,还可以判断信号的幅度是否正常。同时它还可以判断信号,特别是VCO信号是否纯净。可见频谱分析仪在手机维修过程中是十分重要的。 另外,数字手机的接收机、发射机电路在待机状态下是间隙工作的,所以在待机状态下,频率计很难测到射频电路中的信号,对于这一点,应用频谱分析仪不难做到。 一、使用前须知 在使用频谱分析仪之前,有必要了解一下分贝(dB)和分贝毫瓦(dBm)的基本概念,下面作一简要介绍。 1.分贝(dB) 分贝是增益的一种电量单位,常用来表示放大器的放大能力、衰减量等,表示的是一个相对量,分贝对功率、电压、电流的定义如下: 分贝数:101g(dB) 分贝数=201g(dB) 分贝数=201g(dB) 例如:A功率比B功率大一倍,那么,101gA/B=10182’3dB,也就是说,A功率比B功率大3dB, 2.分贝毫瓦(dBm) 分贝毫瓦(dBm)是一个表示功率绝对值的单位,计算公式为: 分贝毫瓦=101g(dBm) 例如,如果发射功率为lmw,则按dBm进行折算后应为:101glmw/1mw=0dBm。如果发射功率为40mw,则10g40w/1mw--46dBm。 二、频谱分析仪介绍 生产频谱分析仪的厂家不多。我们通常所知的频谱分析仪有惠普(现在惠普的测试设备分离出来,为安捷伦)、马可尼、惠美以及国产的安泰信。相比之下,惠普的频谱分析仪性能最好,但其价格也相当可观,早期惠美的5010频谱分析仪比较便宜,国产的安泰5010频谱分析仪的功能与惠美的5010差不多,其价格却便宜得多。 下面以国产安泰5010频谱分析仪为例进行介绍。 1.性能特点 AT5010最低能测到2.24uv,即是-100dBm。一般示波器在lmv,频率计要在20mv以上,跟频谱仪比相差10000倍。如用频率计测频率时,有的频率点测量很难,有的频率点测最不准,频率数字显示不

《车站信号自动控制》实验指导书

前言 计算机联锁系统采用了最新计算机技术、总线技术、网络技术,实现了一套性能可靠、具有故障安全性、功能完善、操作简单、维护方便的车站联锁系统。本课程的目的是通过本课程的教学使学生计算机联锁的基本知识、基本原理和基本技能,熟悉计算机联锁的使用和 维护,使计算机联锁更加安全可靠地运行,充分发挥其效能。 目 录 前言 实验一 (联锁设计实验1)进路选择实验.......................................... 4 实验二 (联锁设计实验1)进路解锁实验.......................................... 7 实验三 (系统认识实验)进路模拟行车实验 (9) 实验四 (接口电路实验)进路故障模拟及处理实验.............................. 11 实验五 车站联锁维修实验............................................................... 13 参考文献 (15)

前言 车站信号自动控制(联锁)系统是保证行车安全的信号基础设备,必须保证工作可靠,并符合“故障-安全”原则。实现车站联锁的基本功能,完成列车进路建立、锁闭、解锁、道岔控制、信号机控制,完成轨道电路和信号设备状态的监督。通过车站联锁实验的教学使学生掌握联锁系统的基本知识、基本原理和基本技能,熟悉车站联锁系统的使用和维修,使联锁系统更加安全可靠地运行,充分发挥其效能。

实验1 进路选择实验 一、实验目的 1.了解车站联锁车务仿真培训系统,熟悉系统的操作。 2.通过办理进路过程过程,验证各种进路的选路处理过程。 二、实验设备及工作原理 1.实验设备: ⑴PC机E8000 1台 ⑵瘦客户机T5740W 20台 ⑶服务器E8100 2台 ⑷交换机ProCurve 1台 ⑸集群软件Pink E8000 1套 ⑹车站联锁车务仿真培训系统1套 2. 车站联锁车务仿真培训系统的体系结构,如下图1-1所示。 教师机调度集中机 学员机1 学员 机2 学员 机m 学员 机n ··········· 扩展功能 以太网图1-1 车站联锁车务仿真培训系统体系结构图 三、工作原理 本系统把联锁上位机操作平台,底层联锁逻辑和模拟现场设备的状态及变化过程集合到一台计算机上构成学员机,在一台计算机上实现了联锁系统的所有功能。同时结合教学及培训的特点,设置了一台教师机来完成学员操作过程的记录、回放并设置设备故障及行车命令以供考核学员的处理作业的能力。 四、车站站场图 实验用车站站场图,如下图所示。

频谱分析仪的原理及应用

频谱分析仪的原理及应用 (远程互动方式) 一、实验目的: 1、熟悉远程电子实验系统客户端程序的操作,了解如何控制远地服务器主机,操作与其连接的电子综合实验板和PCI-1200数据采集卡,具体可参照实验操作说明。 2、了解FFT 快速傅立叶变换理论及数字式频谱分析仪的工作原理,同时了解信号波形的数字合成方法以及程控信号源的工作原理。 3、在客户端程序上进行远程实验操作,由程控信号源分别产生正弦波、方波、三角波等几种典型电压波形,并由数字频谱分析仪对这几种典型电压波形进行频谱分析,并对测量结果做记录。 二、实验原理: 1、理论概要 数字式频谱分析仪是通过A/D 采样器件,将模拟信号转换为数字信号,传给微处理器系统或计算机来处理和显示,与模拟仪器相比,数据的量化更精确,而且很容易实现存储、传输、控制等智能化的功能。电压测量的分辨率取决于A/D 采样器件的位数,例如12位A/D 采样的分辨率是1/4096。在对交流信号的测量中,根据奈奎斯特采样定理,采样速率必须是信号频率的两倍以上,采样频率越高,时间轴上的信号分辨力就越高,所获得的信号就越接近原始信号,在频谱上展现的频带就越宽。 本实验系统基于虚拟仪器构建,数字频谱分析仪是通过PCI-1200数据采集卡来实现的。通过虚拟仪器软件提供的网络通信功能,实现客户端与服务器之间的远程通信。由客户端程序发出操作请求,由服务器接受并按照要求控制硬件实验系统,然后将采集到的实验数据发给客户端,由客户端程序进行处理。 频谱分析仪是在频域进行信号分析测量的仪器之一,它采用滤波或傅立叶变换的方法,分析信号中所含各个频率份量的幅值、功率、能量和相位关系。频谱仪按工作原理,大致可分为滤波法和计算法两大类,本实验所用的数字频谱分析仪采用的是计算法。 计算法频谱分析仪的构成如图1所示: 图1 计算法频谱分析仪构成方框图 数据采集部分由数据采集部分由抗混低通滤波(LP )、采样保持(S/H )和模数转换(A/D )几个部分组成。 数字信号处理(DSP )部分的核心是FFT 运算。 有限离散序列Xn 和它的频谱X m 之间的傅立叶变换可表示如下: N-1 nm X m = ∑ Xn ·W N n=0 -j2π/N 式中W N = C n,m = 0,1,……,N-1 1 N-1 -nm Xn = - ∑ X m ·W N N m=0 X m 有N 个复数值,由它可获得振幅和相位谱∣X m ∣,φm 。由于时间信号Xn 总是实函数,X m 的N 个值的前后半部分共轭对称。 由于数据采集进行的是有限时间内的信号采集,而不是无限时间信号,在进行FFT 变

SOPC实验报告

SOPC系统设计技术实验报告 姓名: 学号: 院系:信息科学与工程学院 专业:电子科学与技术 指导老师: 完成日期:2015年04月25日

实验二、NIOSII实现串口收发数据及LCD显示 一、实验目的 (1)进一步熟悉Quartus II、SOPC Builder、NIOS II IDE的操作; (2)掌握SOPC硬件系统及NIOS II软件的开发流程。 二、实验内容 (1)、实验平台:硬件:PC级、SmartSOPC+教学实验开发平台;软件:Quartus II 9.0,SOPC Builder 9.0,NIOS II IDE 9.0。 (2)、实验内容:建立包含SDRAM、JTAG_UART、Timer、LCD的NIOS II处理器系统,通过JTAG_UART从IDE的控制端窗口读取输入值N,计算1至N的累加值,并将计算结果及计算花费时间的显示在LCD中。 三、实验步骤 3.1硬件设计 根据实验内容,可以得出本次实验的硬件结构图如图3.1所示: 图3.1 硬件设计结构图

具体硬件设计步骤如下: 1)、在Quartus II中建立一个工程命名为:smallCore,器件设置为EP3C55F484C8; 2)、以原理图输入方式建立空白顶层模块,并保持; 3)、打开SOPC Builder,命名SOPC系统名称为nios2system,开始建立NIOS II系统。 4)、双击SOPC Builder主界面左侧中的“Nios II Processor”,出现Nios II CPU的配置向导对话框,如图1.4所示,在这里可以有三种Nios II CPU选择,我们选择快速型的Nios II/f,不使用硬件乘法器及除法器。然后单击Next进入下一步配置;Instruction Cache项中选择2 Kbytes,在Data Cache项中选择512 Bytes,单击Next进行下一步配置;在“Advanced Features”和“MMU and MPU Settings”选项卡中选择默认参数,然后单击Next,到了“JTAG Debug Module”选项卡,如图1.6所示。这里是选择JTAG调试接口,选择默认的模式Level 1,然后单击Next,到了“Custom Instruction”选项卡,也选择默认参数,最后单击Finish完成对Nios II CPU的配置。 5)、添加了Nios II CPU内核后,选中Module Name下的cpu_0,单击鼠标右键,在Rename 项中可以重命名cpu_0的名称为cpu,并在“Clock Settings”一栏中将clk_0名称改为clk。 6)、双击在SOPC Builder主界面左侧中的Bridges and Adapters→Memory Mapped→Avalon-MM Clock Crossing Bridge,出现Clock Crossing Bridge的配置向导对话框,在“Slave-to-Master FIFO”中的FIFO depth中选择64。单击“finish”退出配置对话框,并重命名clock_crossing_0的名称为clock_crossing。 7)、双击在SOPC Builder主界面左侧中的Memories and Memory Controllers→SDRAM→DDR SDRAM High Performance Controller,出现DDR SDRAM High Performance Controller的配置向导对话框。修改“General Settings”选项卡的参数配置,参数修改如下:Speed grade:8 PLL reference clock frequency:85 Memory clock frequency:100 Local interface clock frequency:full 修改“Modify Parameters”:DDR SDRAM控制器参数,参数修改如下: Total Memory interface DQ width:16 Memory vendor:other

sopc实验指导书(1)

CON目录 第一章实验箱简介 (2) 第二章EDA实验单元 (5) 实验一七人表决器 (5) 实验二格雷码变换 (13) 实验三BCD码加法器 (15) 实验四四位全加器 (17) 实验五四人抢答器 (19) 实验六四位并行乘法器 (20) 实验七设计基本触发器 (21) 实验八设计74LS169计数器功能模块 (25) 实验九步长可变的加减计数器 (27) 实验十可控脉冲发生器 (28) 实验十一正负脉宽数控调制信号发生器 (30) 实验十二序列检测器 (32) 实验十三四位并行流水乘法器 (34) 实验十四出租车计费器 (37) 实验十五多功能数字钟 (39) 实验十六数字秒表 (41) 实验十七频率计 (43) 实验十八交通灯控制器 (45) 实验十九数码锁 (47) 实验二十VGA彩条发生器 (49) 附录 (51)

第一章实验箱简介 EDA/SOPC实验箱是集EDA和SOPC开发为一体的综合性实验箱,它不仅可以独立完成几乎所有的EDA设计,也可以完成大多数的SOPC开发。 采用Altera公司的Cyclone系列的12万门FPGA为核心,整个系统采用模块化设计,各个模块之间可以自由组合,使得该实验箱的灵活性大大提高。同时实验箱还提供了丰富的接口模块,供人机交互,从而大大增加了实验开发者开发的乐趣,满足了普通高等院校、科研人员等的需求。 开发工程师可以使用VHDL语言、Verilog HDL语言、原理图输入等多种方式,利用Altera公司提供的Quartus II及Nios软件进行编译,下载,并通过EDA/SOPC实验箱进行结果验证。实验箱提供多种人机交互方式,如键盘阵列、按键、拨挡开关输入;七段码管、大屏幕图形点阵LCD显示;串口通信;VGA接口、PS2接口、USB接口、Ethernet接口等,利用Altera 公司提供的一些IP资源和Nios 32位处理器,用户可以在该实验箱上完成不同的SOPC设计。 EDA/SOPC实验箱提供的资源有: ●Altera公司的EP1C6Q240C8,12万门级FPGA,另外可选配更高 资源的FPGA ●FPGA配置芯片采用可在线变成的EPC2,通过JTAG口和简单的 跳线即可完成设计的固化 ●1个数字时钟源,提供48MHz、12MHz、1MHz、100KHz、10KHz、 1KHz、100Hz、10Hz、2Hz和1Hz等多个时钟 ●1个模拟信号源,提供频率和幅度可调的正弦波、三角波和方波 ●两个串行接口,一个用于SOPC开发时的调试,另一个可以完成 其它的通信 ●1个VGA接口 ●1个PS2接口,可以接键盘或鼠标 ●1个USB接口,利用PDIUSBD12芯片实现USB协议转换 ●1个Ethernet接口,利用RTL8019芯片实现TCP/IP协议转换 ●基于SPI接口的音频CODEC模块 ●1个输入、输出探测模块,供数字信号的观察 ●16个LED显示 ●8个拨挡开关输入 ●8个按键输入 ●1个4X4键盘阵列 ●8个七段码管显示 ●1个扬声器模块 ●1个交通灯模块

普通化学实验指导书【模板】

普通化学实验指导书 齐鲁理工学院

目录 实验一酸碱比较滴定 (1) 实验二水中钙、镁离子的测定 (4)

实验一酸碱比较滴定 一、实验目的 1.掌握酸碱溶液的配制和比较滴定方法。 2.练习滴定操作技术和滴定终点的判断。 3.掌握滴定结果的数据记录和数据处理方法。 二、实验原理 在酸碱滴定中,酸标准溶液通常是用HCl或H2SO4来配制,其中用得较多的是HCl。如果试样要和过量的酸标准溶液共同煮沸时,则选用H2SO4。HNO3有氧化性并且稳定性较差,故不宜选用。 碱标准溶液一般都用NaOH配制。KOH较贵,应用不普遍。Ba(OH)2可以用来配制不含碳酸盐的碱标准溶液。 市售的酸浓度不定,碱的纯度也不够,而且常吸收CO2和水蒸气,因此都不能直接配制准确浓度的溶液,通常是先将它们配成近似浓度,然后通过比较滴定和标定来确定它们的准确浓度,其浓度一般是在0.01~1 mol·L-1之间,具体浓度可以根据需要选择。 酸碱比较滴定一般是指用酸标准溶液滴定碱标准溶液的操作过程。当HCl和NaOH溶液反应达到等量点时,根据等物质的量规则有: 即 因此,只要标定其中任何一种溶液的浓度,就可以通过比较滴定的结果(体积比),算出另一种溶液的准确浓度。 三、仪器和试剂 (一)仪器 10mL量筒、500mL量杯、1000mL小口试剂瓶(2只)、酸式和碱式滴定管、锥形瓶(3只)。 (二)试剂 浓HCl、50%NaOH、0.2%甲基红乙醇溶液。

四、实验内容 (-)0.05 mol·L-1(HCl)溶液的配制 用干净的量筒量取浓HCl 4.5mL,倒入1000mL试剂瓶中,用蒸馏水稀释至1000mL,盖上瓶塞,摇匀。 (二)0.05 mol·L-1(NaOH)溶液的配制 用干净的量筒量取澄清的50%NaOH 2.8mL,倒入1000mL试剂瓶中,用无CO2蒸馏水稀释至1000mL,用橡皮塞塞紧,摇匀。 溶液配好后,贴上标签,标签上应注明试剂名称、专业、班级、姓名和配制日期,留待以后实验用(以上酸、碱标准溶液,由两个同学共同配制)。 (三)比较滴定 将酸、碱标准溶液分别装入酸式和碱式滴定管中(注意赶气饱和除去管尖悬挂的液滴),记录初读数,由碱式滴定管放出约20mLNaOH溶液于锥形瓶中,加入甲基红指示剂1~2滴,用HCl溶液滴至溶液由黄色变为橙色,即为终点。若滴定过量,溶液已经变红,可以用NaOH溶液回滴至溶液变为黄色,再用HCl溶液滴至橙色。准确记录酸式、碱式滴定管的终读数,计算酸碱溶液的体积比(或)。 平行测定三次,每次滴定前,都要把酸式、碱式滴定管装到“0” 刻度或“0”刻度稍下的位置。要求三次测定结果的相对均差小于0.2%。 五、数据记录及计算结果

频谱分析仪的设计方案及实际应用案例汇总

频谱分析仪的设计方案及实际应用案例汇总 频谱分析仪是研究电信号频谱结构的仪器,用于信号失真度、调制度、谱纯度、频率稳定度和交调失真等信号参数的测量,可用以测量放大器和滤波器等电路系统的某些参数,是一种多用途的电子测量仪器。现代频谱分析仪能以模拟方式或数字方式显示分析结果,能分析1 赫以下的甚低频到亚毫米波段的全部无线电频段的电信号。仪器内部若采用数字电路和微处理器,具有存储和运算功能;配置标准接口,就容易构成自动测试系统。 基于MSP430 的FM 音频频谱分析仪的设计方案 本文中主要提出了以MSP43 处理器为核心的音频频谱分析仪的设计方案。以数字信号处理的相关理论知识为指导,利用MSP430 处理器的优势来进行音频频谱的设计与改进,并最终实现了在TFT 液晶HD66772 上面显示。 基于NIOS II 的频谱分析仪的设计与研制 本设计完全利用FPGA 实现FFT,在FPGA 上实现整个系统构建。其中CPU 选用Altera 公司的Nios II 软核处理器进行开发, 硬件平台关键模块使用Altera 公司的EDA 软件QuartusIIV8.0 完成设计。整个系统利用Nios II 软核处理器通过Avalon 总线进行系统的控制。 基于频谱分析仪二代身份证读卡器测量 本文所介绍使用频谱仪检测RFID 读卡器的应用实例也是一种通用检测 方案,可广泛应用在RFID 读卡器和主动式电子标签研发过程中的调试、产线 的检验等多个方面。 基于频谱分析仪分析手机无线测试 本文将对手机无线通信中遇到的问题提出相应的解决方案。手机在进行通信时存在着频段控制、通信质量检测和信号大小控制等问题。被射频工程师

FPGA设计实验指导书(2013)

《FPGA设计》实验指导书

安全操作注意事项 1、接插下载电缆前,请务必关闭实验箱开关,避免损坏下载电缆或实验箱器件。 2、操作过程中应防止静电。 3、保持实验箱和电路板的表面清洁。 4、小心轻放,避免不必要的硬件损伤或者人身受伤。 实验箱简介

实验一简单组合逻辑设计 一、实验目的和任务 1、熟习Quartus II软件的使用; 2、掌握用原理图输入法和硬件描述语言(Verilog HDL)两种方法来设计逻 辑电路; 3、通过电路的仿真及验证,进一步了解4选1数据选择器的功能; 二、实验内容 1、用原理图输入法来设计4选1数据选择器 参照按图1-1所示来编辑完成4选1数据选择器的原理图输入,其中a、b、c、d 为数据输入端,sel[1]、sel[0]为控制输入端,q为4选1数据输出端。存盘仿真后,观察仿真波形,以验证数据选择器的功能。 图1-1 4选1数据选择器原理图 2、用Verilog HDL硬件描述语言来设计4选1数据选择器 用QuartusII中的文本编辑器,编辑输入4选1数据选择器源程序:module m41( a, b, c, d, sel, q); input a,b,c,d; input [1:0]sel; output q; reg q; always @( sel) case(sel) 2’b00: q=a; 2’b01: q=b;

2’b11: q=d; endcase endmodule 程序中的a 、b 、c 、d 依然为数据输入端,sel[1]、sel[0]为控制输入端,q 为4选1数据输出端。同样存盘后进行仿真,并观察仿真波形,以验证数据选择器的功能。 三、实验仪器、设备及材料 电脑、EDA 软件、实验箱、下载电缆。 四、实验原理 4选1数据选择器的原理框图及真值表如图1-2及表1-1所示,sel[1:0]可能出现四种组合情况: 00 01 10 11,它分别对应选通四个不同的数据输入a 、b 、c 、d ,从q 端输出。结合以前所学数字电路的知识,可由真值表得出利用“与非门”实现的逻辑电路,进而可用QuartusII 原理图输入方法,设计出该4选1数据选择器;如应用EDA 技术所学的Verilog HDL 硬件描述语言来描述该电路功能,即可设计出该4选1数据选择器的源程序。 图1-2 4选1数据选择器的原理框图 q Sel[1]输出 选择输入 0a 01b 00 c 11 d 1 Sel[0]表1-1 真值表 五、重点、难点 d a b c

电子测量原理实验指导书

电子测量原理实验指导书 南京邮电大学自动化学院

目录 电子测量实验系统组成原理及操作 (1) 电子计数器原理及应用 (10) 示波器原理及应用 (16) R、L、C参数测量 (24) 逻辑分析仪原理及应用 (31) 交流电压测量 (40)

电子测量实验系统组成原理及操作 一、实验目的 1.了解SJ-8002B电子测量实验系统的原理和组成。 2.学习操作本实验系统并完成一些简单实验。 二、实验内容 1.操作本系统的实验箱内部DDS信号源,产生出多种信号波形,并用外接示波器观察。 2.使用本实验箱内部数字示波器,去观察外部信号源的信号波形。 3.使用本实验箱内部数字示波器,观察内部DDS信号源产生的信号波形。 三、实验器材 1.SJ-8002B电子测量实验箱 1台 2.双踪示波器(20MHz模拟或数字示波器) 1台 3.函数信号发生器(1Hz~1MHz) 1台 4.计算机(具有运行windows2000和图形化控件的能力) 1台 四、实验原理 SJ-8002B电子测量实验系统由三大部分组成:a电子测量实验箱;b系列化的实验板;c微型计算机(含配套的实验软件),如图1-1所示。此外,实验中根据需要可以再配备一些辅助仪器,如通用示波器、信号源等。 图1-1 电子测量实验系统的基本组成 电子测量实验系统的外貌图如图1-2所示。

图1-2 电子测量实验系统 电子测量实验箱主板如图1-3所示。 S102 短路块 62芯插座,实验电路板 AC9V 温度板用电源 EPP 插座,连接计算机 并口 键盘板接口 电位器直流可调电压 S101 短路块 S702 短路块 S602 短路块 采集1通道输入Ain1信号源1输出Aout1 测频输入Fx 采集2通道输入Ain2信号源2输出Aout2 直流电压输入DCin 图1-3 电子测量实验箱主板 短路块名 短路位置 连接说明 使用场合 S101 左边 7109直流电压差分输入端DC -不接地 温度实验时使用

AdobeAudition系列教程二频谱分析仪

Adobe Audition系列教程(二):频谱分析仪 频谱分析仪是研究信号频谱特征的仪器,在电子技术一日千里的今天,是研究、开发、调试维修中的有力武器。现代频谱分析仪都趋向于智能化,虚拟仪器技术广泛应用,有些就是以专用的计算机系统为核心设计的。其结果是结构大大简化、性能飞速提高。当然专业的频谱分析仪就比示波器更加昂贵了,业余爱好者更难用上。不过不必灰心,我们可以充分利用Adobe Audition的频谱分析功能,让你拥有精确频谱分析仪的美梦成真! 1. 频谱显示模式 Adobe Audition本身有一种“频谱显示”模式。先打开一段波形,或用《妙用Adobe Audition:数字存储示波器》一文介绍的方法录制一段波形,即可进行频谱分析。这里我们新建一段20秒的对数扫频信号(本文大多选用直接建立的波形,以便了解信号原始波形的标准频谱特征),然后选择“View=>Spectral View”(视图=>频谱),如图1,或点击快捷工具栏的“Toggle between Spectral and Waveform views”(切换频谱视图/波形视图)按扭,即可将波形以频谱显示的方式显示出来,如图2。扫频的频谱显示见图3。 图1

图2 图3 可以看到,横轴为时间,纵轴为频率指示。每个时刻对应的波形频谱都被显示出来了,可以看到扫描速度是指数增加的,即将频率轴取对数时扫描速度是线性的。如图中光标处18秒处频谱指示约11KHz。实际上频谱指示的颜色是代表频谱能量的高低的,颜色从深蓝到红再到黄,指示谱线电平由低到高的变化。这实际上跟地图的地形鸟瞰显示是比较相似的,看图4频谱复杂变化的声音频谱就更容易理解这点了。 图4

可编程控制器原理及应用实验指导书2017版

自动化学院实验指导书系列 可编程控制器及应用 实验指导书 董冀媛编 北京科技大学自动化学院 控制科学与工程系 二○一一年九月

前言 本书是配合自动化专业本科生专业选修课《可编程控制器及应用》的课堂教学而设置的,目的是通过实验环节能够使学生掌握可编程控制器的基本原理和使用方法。本书共设置了六个实验,前两个实验的内容是电动机的启动与停止、正反转等基本的控制环节,目的是通过实验熟悉Step7软件和S7-300的基本编程指令以及电动机的基本控制环节。实验三到五是综合指令实验,目的是通过实验让学生掌握基于可编程控制器的控制系统的一般设计原则和步骤,为今后实际工作打下基础。 在本书的编写过程中,得到了孙昌国老师和王尚君老师的热忱帮助和指导,在此表示感谢。 由于作者水平有限,书中难免存在不足之处,敬请读者批评指正。 编者 2015年9月29日 注:2017年10月添加实验六,模拟量输入模板的接线和模拟量数据采集一般的编程方法。 第2 页

目录 前言 (2) 实验一电动机的启动和停止控制 (5) 一、实验目的 (5) 二、实验内容 (5) 三、实验所用仪表及设备 (7) 四、实验步骤 (7) 五、思考题 (11) 六、实验报告要求 (11) 实验二电动机的正反转控制 (12) 一、实验目的 (12) 二、实验内容 (12) 三、实验所用仪表及设备 (13) 四、实验步骤 (13) 五、思考题 (15) 六、实验报告要求 (15) 实验三八层电梯的控制系统的设计 (16) 一、实验目的 (16) 二、实验内容 (16) 三、实验所用仪表及设备 (17) 四、实验步骤 (18) 五、思考题 (20) 六、实验报告要求 (20) 实验四物品分选系统设计 (22) 一、实验目的 (22) 二、实验内容 (22) 三、实验所用仪表及设备 (23) 四、实验步骤 (23) 五、思考题 (26) 六、实验报告要求 (26) 实验五十字路口交通灯控制 (27) 一、实验目的 (27) 二、实验内容 (27) 三、实验所用仪表及设备 (28) 四、实验步骤 (28) 五、思考题 (33) 六、实验报告要求 (33) 第3 页

Adobe-Audition-系列教程(二):频谱分析仪

Adobe Audition系列教程(二):频谱分析仪 频谱分析仪是研究信号频谱特征的仪器,在电子技术一日千里的今天,是研究、开发、调试维修中的有力武器。现代频谱分析仪都趋向于智能化,虚拟仪器技术广泛应用,有些就是以专用的计算机系统为核心设计的。其结果是结构大大简化、性能飞速提高。当然专业的频谱分析仪就比示波器更加昂贵了,业余爱好者更难用上。不过不必灰心,我们可以充分利用AdobeAudition的频谱分析功能,让你拥有精确频谱分析仪的美梦成真!? 1. 频谱显示模式? Adobe Audition本身有一种“频谱显示”模式。先打开一段波形,或用《妙用Adobe Audition:数字存储示波器》一文介绍的方法录制一段波形,即可进行频谱分析。这里我们新建一段20秒的对数扫频信号(本文大多选用直接建立的波形,以便了解信号原始波形的标准频谱特征),然后选择“View=>Spectral View”(视图=>频谱),如图1,或点击快捷工具栏的“Toggle between Spectral and Waveform views”(切换频谱视图/波形视图)按扭,即可将波形以频谱显示的方式显示出来,如图2。扫频的频谱显示见图3。 图1

图2 图3 可以看到,横轴为时间,纵轴为频率指示。每个时刻对应的波形频谱都被显示出来了,可以看到扫描速度是指数增加的,即将频率轴取对数时扫描速度是线性的。如图中光标处18秒处频谱指示约11KHz。实际上频谱指示的颜色是代表频谱能量的高低的,颜色从深蓝到红再到黄,指示谱线电平由低到高的变化。这实际上跟地图的地形鸟瞰显示是比较相似的,看图4频谱复杂变化的声音频谱就更容易理解这点了。 图4

最新实验十二SOPC的键盘与LCD显示控制实验

实验十二S O P C的键盘与L C D显示控制实 验

实验3 SOPC的键盘与LCD显示控制实验 【实验目的】 1.熟悉矩阵键盘的工作特性。 2.学会使用VHDL语言描述一个矩阵键盘的电路功能。 3.了解字符型液晶显示控制器。 4.掌握1602液晶的操作方法。 5.学会使用VHDL语言描述一个1602液晶控制的电路功能。 【实验内容】 1.使用VHDL语言描述一个矩阵键盘的电路功能,程序实现的功能是测试 4X4矩阵按键;具体的键值通过FPGA核心板上的数码管来显示。如按下K1,数码管就显示0,按下K16,数码管就显示F。 2.使用VHDL语言描述一个1602液晶显示屏控制的电路功能,使1602液晶 屏可以显示你自己的名字(拼音)。 【实验器材】 1. HS-EDA5.1实验箱 2.USB-BLASTER烧写器 3. Quartus II 8.0 【实验原理】 本实验需要使用VHDL语言描述一个矩阵键盘以及1602液晶控制的电路功能,下面我们先来介绍一下矩阵键盘和1602液晶显示屏。 1.矩阵键盘:键盘分编码键盘和非编码键盘。键盘上闭合键的识别由专用的硬件编码器 实现,并产生键编码号或键值的称为编码键盘,如计算机键盘.而靠软件编程来识别的称为非编码键盘;在一般常用系统中,用的最多的是非编码键盘。也有用到编码键盘的。非编码键盘有分为:独立键盘和行列式(又称为矩阵式)键盘。

矩阵键盘结构图如上图 矩阵键盘的读取都经历一个扫描的过程,程序先将L1输出低电平,,即端口输入11111110, 即十六进制0FEH,然后读回端口的数据,此时如果 L1 上的四个按键没有按下,读到的P0 口的数据还是11111110,如按下了按键 8,H2 便被拉成低电平,读回的端口数据11011110(十六进制:0DEH),程序就根据读回的数据来判断某个按键按下了,如果没有按键按下,则再将 L2 输出低电平(P0=11111101,十六进制:0FDH),然后也一样读回端口数据,判断有按键按下否,如此下去,到 L4 的完成,为一个扫描过程。刚才按键 8 按下读回的数值是 0DEH,如果做为简单的程序,可以直接用0DEH 来认定是 8 键的键值码,也可以认为它是一个无名键的键值码,所以上面写的 8 不是特定的,而只是序号,你怎么排列键序都可以,甚至认为是游戏手柄的上下左右出拳踢腿键都没问题,问题是按下某下按键后,你知道读回的键值是多少就可以了,键值才有用。 2.1602液晶显示屏:工业字符型液晶,能够同时显示16x02即32个字符,每个字符是5X7的点阵。1602液晶模块内部的字符发生存储器已经存储了160个不同的点阵字符图形,这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码,比如大写的英文字母“A”的代码是01000001B(41H),显示时模块把地址41H中的点阵字符图形显示出来,我们就能看到字母“A”。因为1602识别的是ASCII码,实验可以用ASCII码直接赋值。 管脚说明如下图

6502实训指导书

6502电气集中操纵方法与表示方式 实 训 指 导 书 铁道运输系 2011.9

一、实验目的 1.了解6502电气集中设备组成; 2.熟悉控制台盘面布置,各种按钮、表示灯作用及显示; 3.掌握各种进路操纵方法与表示方式。 二、实验器材 6502电气集中设备一套 三、实验原理 1.6502电气集中特点 采用6502电气集中设备的车站,全站集中区范围内的信号机、道岔和进路均由值班员集中控制。 操纵简便,排列进路速度快:办理进路时,值班员只需按压进路始端按钮,进路上有关道岔从左到右顺序选动并立即转换。 排列进路效率高:第一条进路选出后(不包括道岔转换)时间,即可以立即排列第二条平行进路。 进路锁闭后,信号自动开放,列车一进入进路,信号自动关闭,进路按列车运行方向逐段自动解锁。 2.6502电气集中设备组成 设备由室内、室外设备组成。 室内主要设备:控制台、区段人工解锁按钮盘、电源屏、继电器组合及组合架、分线盘。 室外主要设备:在沙盘站场上由进站、出站、调车等色灯信号机,电动转辙机、轨道电路及电缆。 该站上行方面区间设备是自动闭塞,下行方面区间设备是自动闭塞和东郊方面半自动闭塞。 实验时,在控制台操纵,沙盘站场上有关道岔自动转换到进路所需的位置,排列进路,开放信号,当列车或车列(用短路线或模拟板代替)进入进路,信号自动关闭,进路自动逐段解锁。在整个过程中控制台上有相应表示。 3.6502电气集中控制台 6502电气集中控制台是采用标准单元块拼装而成。 控制台盘面中部是与现场实际站场完全一致的模拟站场,有各种进路按钮、表示灯及光带。上下方是其它操纵用的各种按钮和表示灯。 值班员通过控制台上的按钮和表示灯控制和监督全站集中区内道岔、信号机和进路。因此,首先要求对控制台上各种按钮设置原则、作用及各种表示灯意义认真了解,才能正确掌握各种进路操纵方法与了解表示含义。 四、实验内容 1.察看6502电气集中室内、外设备 对室内主要设备控制台、区段人工解锁按钮盘、电源屏、继电器组合及组合架等设备外型、安装情况等认真观察。 2.熟悉控制台盘面上各种按钮配置原则及作用

Adobe-Audition-系列教程(二):频谱分析仪

AdobeAudition系列教程(二):频谱分析仪 频谱分析仪是研究信号频谱特征的仪器,在电子技术一日千里的今天,是研究、开发、调试维修中的有力武器。现代频谱分析仪都趋向于智能化,虚拟仪器技术广泛应用,有些就是以专用的计算机系统为核心设计的。其结果是结构大大简化、性能飞速提高。当然专业的频谱分析仪就比示波器更加昂贵了,业余爱好者更难用上。不过不必灰心,我们可以充分利用AdobeAudition的频谱分析功能,让你拥有精确频谱分析仪的美梦成真! 1. 频谱显示模式 AdobeAudition本身有一种“频谱显示”模式。先打开一段波形,或用《妙用Adobe Audition:数字存储示波器》一文介绍的方法录制一段波形,即可进行频谱分析。这里我们新建一段20秒的对数扫频信号(本文大多选用直接建立的波形,以便了解信号原始波形的标准频谱特征),然后选择“View=>Spe ctral View”(视图=>频谱),如图1,或点击快捷工具栏的“Toggle between Spectral and Waveform views”(切换频谱视图/波形视图)按扭,即可将波形以频谱显示的方式显示出来,如图2。扫频的频谱显示见图3。 图1

图2 图3 可以看到,横轴为时间,纵轴为频率指示。每个时刻对应的波形频谱都被显示出来了,可以看到扫描速度是指数增加的,即将频率轴取对数时扫描速度是线性的。如图中光标处18秒处频谱指示约11KHz。实际上频谱指示的颜色是代表频谱能量的高低的,颜色从深蓝到红再到黄,指示谱线电平由低到高的变化。这实际上跟地图的地形鸟瞰显示是比较相似的,看图4频谱复杂变化的声音频谱就更容易理解这点了。

sopc课程设计

SoPC课程设计(报告)题目:液晶控制显示器 学院:电子工程学院 系部:微电子学系 专业:集成电路设计与集成系统 班级: 1002 学生姓名:曹松松 指导教师:曾泽沧 起止时间: 2013年6月17日——2013年6月28日

目录 1 课程设计要求 (2) 基本要求 (2) 2 实验使用平台 (2) 3 题目:选题2 (2) 4 课程设计总结 (5) 5 附件 (5)

1课程设计要求 基本要求 课程设计要求所有题目采用Quartus II 工具提供的图形输入或者VerilogHDL语言输入方式作为电路设计工具,在NiosII上采用C语言实现编程,自定向下正向设计方法,先设计硬件系统,再进行软件编程,能够生成正确的FPGA下载代码和NiosII执行的软件代码。硬件功能仿真和时序仿真采用第三方工具(建议为:modelsim),综合与布局布线工具为:Quartus II,SOPC Builder建立软件运行环境,具体要求为:1)根据课设题目,进行总体设计方案(10分); 2)硬件电路顶层设计、模块划分、引脚定义(10分); 3)电路设计及NiosII设计,提交电路设计源代码或电路图(10分); 4)综合与布局布线,提交综合与布局布线报告(10分); 5)FPGA下载代码和引脚分布(10分); 6)软件总体设计及画出流程图(10分); 7)程序设计,提交程序代码(10分); 8)程序编译下载及仿真调试(10分)。 2实验使用平台 实验平台使用Altera的DE2开发板,开发工具使用Altera的Quartus II和Nios II IDE。 3 题目:选题2 在字符型液晶显示器上移动显示 “XIAN UNIVERSITY POST AND TELECOMMUNICATIONS YOUR NAME 2013-6”,要求FPGA 设计硬件,内嵌NiosII,液晶显示采用软件实现。 1)根据课设题目,进行总体设计方案;(10分) 此次sopc课程设计选题2,题目相对比较简单。大体分为硬件搭建跟软件编程两块。由本次设计题目比较简单,所使用模块较少,设计方案及模块划分如下:

相关主题
文本预览
相关文档 最新文档