当前位置:文档之家› EDA彩灯控制器

EDA彩灯控制器

EDA彩灯控制器
EDA彩灯控制器

北华航天工业学院

实验(实习)报告

类别:《电子技术实验—Ⅲ》

名称:彩灯控制器

地点:10-325

系别:电子与控制工程学院班级B142A2

姓名:石程学号:21

一、实验要求:

用给定IC设计、安装与调试彩灯控制器,具体要求如下:

1)控制器有四组输出,每组驱动1只LED。

2)设计用4只LED组成的彩灯图案。图案的状态变换至少有三种,并且能定时自动切换。

3)彩灯图案状态变换的速度至少有快、慢两种。

4)安装并调试彩灯控制器

二、方案设计

在电路中以 1 代表灯亮,以 0 代表灯灭,由 0、1按不同的规律组合代表不同的灯光图案,同时使其选择不同的频率,从而实现多种图案多种频率的花样功能显示。在该电路中只需简单的修改程序就可以灵活地调整彩灯图案和变化方式。下面就以一个四路彩灯控制系统的实现为例进行简单说明。此四路彩灯控制系统设定有三种花样变化 ,并且能定时自动切换。彩灯图案状态变换的速度有快、慢两种。

二、模块说明

(一)程序设计

i.顶层文本设计程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity led_control is

port(

clkin,clr,ctrl:instd_logic;

led:outstd_logic_vector(3 downto 0));

end led_control;

architecture one of led_control is

signal clk0:std_logic;

signal wire0:std_logic;

signal wire1:std_logic_vector(1 downto 0); signal wire2:std_logic_vector(3 downto 0); signal code:std_logic_vector(5 downto 0);

component clock

port(

clkin:instd_logic;

clk:outstd_logic);

end component;

component fenpin

port(

clk,clr:instd_logic;

count:instd_logic_vector(3 downto 0);

q:out std_logic);

end component;

component dingshi

port(

q,clr:instd_logic;

ds:outstd_logic_vector(1 downto 0));

end component;

component jishu

port(

q,clr:instd_logic;

js:outstd_logic_vector(3 downto 0));

end component;

component yima

port(

code:instd_logic_vector(5 downto 0);

led:outstd_logic_vector(3 downto 0));

end component;

begin

ck:clock port map(clkin,clk0);

fp:fenpin port map(clk0,clr,wire2,wire0);

ds:dingshi port map(wire0,clr,wire1);

js:jishu port map(wire0,clr,wire2);

code<=wire2&wire1;

ym:yima port map(code,led);

end one;

ii.底层程序设计

(1)时钟信号分频程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity clock is

port(

clkin:instd_logic;

clk:outstd_logic);

end clock;

architecture bhav of clock is

signal count:std_logic_vector(25 downto 0);

begin

process

begin

wait until clkin'event and clkin='1';

if(count<=4999999)then

count<=count+1;

clk<='0';

else

count<=(others=>'0');

clk<='1';

end if;

end process;

end architecture bhav;

(2)定时模块程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity dingshi is

port (q,clr:instd_logic;

ds:outstd_logic_vector(1 downto 0));

end dingshi;

architecture behavior of dingshi is

signal cou: std_logic_vector(3 downto 0);

signal con: std_logic_vector(1 downto 0);

begin

process(q,clr)

begin

if(clr='0')then

cou<="0000";con<="00";

elsif (q 'event and q='1') then

if(cou="1111")then

cou<="0000";

if (con="11")then

con<="00";

else

con<=con+1;

end if;

else

cou<=cou+1;

end if;

end if;

ds<=con;

end process;

end behavior;

(3)输出分频模块程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity fenpin is

port (clk,clr:instd_logic;

count:instd_logic_vector(3 downto 0);

q:out std_logic);

end fenpin;

architecture behavior of fenpin is

signal tmp: std_logic_vector(1 downto 0);

signal t:std_logic;

begin

process(clk,count,clr)

begin

case count is

when"0000"=>t<='1';

when"0001"=>t<='1';

when"0010"=>t<='1';

when"0011"=>t<='1';

when"0100"=>t<='1';

when"0101"=>t<='1';

when"0110"=>t<='1';

when"0111"=>t<='1';

when"1000"=>t<='0';

when"1001"=>t<='0';

when"1010"=>t<='0';

when"1011"=>t<='0';

when"1100"=>t<='0';

when"1101"=>t<='0';

when"1110"=>t<='0';

when"1111"=>t<='0';

when others=>t<='1';

end case;

if clr='0' then

q<='0';tmp<="00";

else

if(clk'event and clk='1') then tmp<=tmp+'1';q<='0';

if t='1' then

if tmp="01" then

tmp<="00";q<='1';

else

tmp<=tmp+'1';q<='0';

end if;

if tmp="11" then

tmp<="00";q<='1';

end if;

end if;

end if;

end if;

end process;

end behavior;

(4)计数模块程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity jishu is

port(q,clr:instd_logic;

js:outstd_logic_vector(3 downto 0));

end jishu;

architecture behave of jishu is

signal cou:std_logic_vector(3 downto 0);

begin

process(q,clr)

begin

if(clr='0') then

cou<="0000";

elsif (q'event and q='1') then

if(cou="1111")then

cou<="0000";

else

cou<=cou+1;

end if;

end process;

js<=cou;

end behave;

(5)译码模块程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity yima is

port(

code:instd_logic_vector(5 downto 0);

led:outstd_logic_vector(3 downto 0));

end yima;

architecture bhav of yima is

begin

process(code)

begin

case code is

when"000000"=>led<="1111";

when"000100"=>led<="0000";

when"001000"=>led<="1000";

when"001100"=>led<="0100";

when"010000"=>led<="0010";

when"010100"=>led<="0001";

when"011000"=>led<="0010";

when"011100"=>led<="0100";

when"100000"=>led<="1000";

when"100100"=>led<="0100";

when"101000"=>led<="0010";

when"110000"=>led<="0010"; when"110100"=>led<="0100"; when"111000"=>led<="1000"; when"111100"=>led<="0000"; when"000001"=>led<="1001"; when"000101"=>led<="0110"; when"001001"=>led<="1001"; when"001101"=>led<="0110"; when"010001"=>led<="1001"; when"010101"=>led<="0110"; when"011001"=>led<="1001"; when"011101"=>led<="0110"; when"100001"=>led<="1001"; when"100101"=>led<="0110"; when"101001"=>led<="1001"; when"101101"=>led<="0110"; when"110001"=>led<="1001"; when"110101"=>led<="0110"; when"111001"=>led<="1001"; when"111101"=>led<="0110"; when"000010"=>led<="1010"; when"000110"=>led<="0101"; when"001010"=>led<="1010"; when"001110"=>led<="0101"; when"010010"=>led<="1010"; when"010110"=>led<="0101"; when"011010"=>led<="1010"; when"011110"=>led<="0101"; when"100010"=>led<="1010";

when"101010"=>led<="1010";

when"101110"=>led<="0101";

when"110010"=>led<="1010";

when"110110"=>led<="0101";

when"111010"=>led<="1010";

when"111110"=>led<="0101";

when"000011"=>led<="0000";

when"000111"=>led<="1111";

when"001011"=>led<="0000";

when"001111"=>led<="1111";

when"010011"=>led<="0000";

when"010111"=>led<="1111";

when"011011"=>led<="0000";

when"011111"=>led<="1111";

when"100011"=>led<="0000";

when"100111"=>led<="1111";

when"101011"=>led<="0000";

when"101111"=>led<="1111";

when"110011"=>led<="0000";

when"110111"=>led<="1111";

when"111011"=>led<="0000";

when"111111"=>led<="1111";

when others=>led<="1111";

end case;

end process;

end bhav;

(二)仿真图

四、实验步骤

(1)安quartus 2软件,为本项设计建立文件夹。

(2)输入设计项目的各个模块,存盘检查,编译并仿真至无误。

(3)调用底层文件的各个模块,连接整体原理图,进行存盘检查,编译仿真至无误。(4)将PC机与试验箱进行连接选择器件并锁定引脚,然后进行编译下载。

(5)按照锁定好的引脚进行连线,进行硬件测试,验证仿真和测试结果。

五、实验结果

通过硬件测试,得到如下测试结果:

(1)发光二极管能够按照程序所设定的花色进行变换;

(2)给复位端(clr)低电平时,计时器能够进行复位;

(3)三种图案自动切换,两种速度自动切换;

eda课程设计-彩灯控制器

1.设计目的 学习EDA开发软件和MAX+plus Ⅱ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。 2.设计题目描述和要求 1)设计一个彩灯控制器,使彩灯(LED管)能连续发出四种以上不同的显示形式; 2)随着彩灯显示图案的变化,发出不同的音响声。 3)扩充其它功能。 3.设计原理 3.1 方案论证 这次的彩灯设计采用的是分模块来完成的,包括分频器、计数器、选择器、彩灯控制器。其中彩灯控制器是用来输出不同的花样,彩灯控制器的输出则是用一个32进制的计数器来控制,扬声器的输出时用不同的频率来控制,所以用了一个集成分频器来使输入的频率被分为几种不同的频率,不同频率的选择性的输出则是用一个4选一的选择器来控制。基于上述的介绍本次的彩灯控制采用的模式6来进行显示。

图3-1-1 模式6结构图 3.2 模块设计 1)集成分频器模块 设计要求显示不同的彩灯的时候要伴随不同的音乐,所以设计分频器来用不同的频率控制不同的音乐输出。 模块说明: Rst:输入信号复位信号用来复位集成分频器的输出使输出为“0”,及没有音乐输出。 Clk:输入信号模块的功能即为分频输入的频率信号。 Clk_4、clk_6、clk_8、clk_10:输出信号即为分频模块对输入信号clk 的分频,分别为1/4分频输出、1/6分频输出、1/8分频输出、1/10分频输出。

图3-2-1 集成分频器 2)32进制计数器模块 32进制模块用来控制彩灯输出模块,即确定彩灯控制器的不同的输出。Rst:输入信号复位信号用来复位32进制使其输出为“00000”。 Clk:输入信号用来给模块提供工作频率。 Count_out[4..0]:输出信号即为32进制计数器的输出。 图3-2-2 32进制计数器 3)彩灯控制模块 彩灯控制模块用来直接控制彩灯的输出,使彩灯表现出不同的花样。Rst:输入信号使彩灯控制模块的输出为“00000000”,即让彩灯无输出。Input[4..0]:输入信号不同的输入使彩灯控制模块有不同的输出即彩灯显示出不同的花样。 Output[7..0]:输出信号直接与彩灯相连来控制彩灯。 图3-2-3 彩灯控制模块

《数字电路》课设彩灯循环控制电路设计

《数字电子技术课程设计》报告 ——彩灯循环控制电路设计 摘要 本次电路设计利用555定时器、计数器等设计LED彩灯控制电路。通过按键实现如下循环特性:当按键没有按下时8个彩灯交叉循环点亮:即在前四秒内第1、3、5、7盏灯依次点亮、后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果),并设计成同步电路模式。 用555定时器设计的多谐振荡器来提供时序脉冲,其优点是在接通电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。之后脉冲信号输入到计数器,同时将计数器输出端QC、QB、QA接到译码器的输入端,当译码器输出电平为低电平时,与其相连接的LED会变亮。LED采用共阳极连接,并串上500Ω的电阻。电路由按键SPST_NC_SB控制,使彩灯进入到不同的循环模式。 电路图连接好后,经Multisim软件调试测试,电路可以实现设计要求,即实现从题中要求的交叉循环显示和音乐序列的循环显示。整体电路采用同步电路模式,采用TTL集成电路,电压V 均为5V。运用了所学的555定时器、译码器、计数器与逻辑门 cc 电路等相应的电路器件,提高了对于数字电子技术这门专业基础课的认识与理解,在

实践中发现不足,努力改正,提高了我自学、创新等能力,同时我们也掌握了相应设计电子电路的能力,有利于今后对于专业课程的学习。 关键词:555定时器计数器译码器彩灯循环控制

EDA课程设计:八路彩灯控制器

EDA课程设计 设计题目:基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.通过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为和。三种花型分别是: (1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。 (2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。 四、实验环境 PC机一台;软件QuartusⅡ 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。 二选一模块:选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计 1.分频模块设计 实验程序:library ieee; use fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin process(clk) variable clkk1:std_logic:='0'; begin if clk'event and clk='1' then clkk1:= not clkk1; end if; clkk<=clkk1; end process; end behav; RTL电路图: 波形图:

彩灯循环显示控制电路设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 彩灯循环显示控制电路设计 初始条件: 74LS160计数器、74HC390计数器、74HC139译码管、脉冲发生器、数码管和必要的门电路,可以选用其他的计数器和集成电路,但必须给出原理说明 要求完成的主要任务: 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 设计要求 ①打开电源时,控制器可自动清零。 ②每个数字的一次显示时间基本相等,这个时间在0.5s到2s范围内连续可调。 ③确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 ④用EWB软件或者multisim软件或者Quartus软件完成仿真。 指导教师签名: 2008 年 6月 2日 系主任(或责任教师)签名:年月日

目录 摘要 (1) 1主要任务 (2) 2技术要求 (2) 3基本组成方框图 (2) 4设计方案 (3) 4.1数列循环部分 (3) 4.2数列显示部分 (7) 4.3脉冲信号的产生 (8) 4.4方案的确定 (9) 5单元电路的设计及其原理 (9) 5.1数列循环电路的设计 (9) 5.2序列显示电路的设计 (10) 5.2.1十进制自然序列的显示电路 (10) 5.2.2奇数序列显示电路 (11) 5.2.3偶数序列显示电路 (11) 5.2.4音乐序列显示电路 (12) 5.3脉冲产生电路的设计 (13) 5.4二分频电路的设计 (14) 5.5总电路图的设计 (14) 6仿真结果 (16) 6.1脉冲产生电路的仿真 (16) 6.2二分频电路的仿真 (17) 7测试结果分析 (18) 8体会与心得 (19) 9元件清单 (20) 10参考文献 (21)

8路输出的彩灯循环控制电路数电说明书(内附电路图)

绪论 数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。 随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。不论是思想,还是视觉,人们都在追求更高的美。特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。 本设计是一个彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化。

本次课程设计在编写时参考了大量优秀教材,并得到太原科技大学机械电子工程学院测控技术与仪器教研室刘畅老师的大力支持,他提出来许多的意见和建议,在此表示衷心的感谢。 由于编者水平有限,本设计说明书难免出现不妥之处,恳请老师和广大读者给与批评并提出宝贵的意见,我将由衷地欢迎与感激。 编者 2010年于太科大

目录 绪论 (1) 一、课程设计题目 (3) 二、课程设计目的 (4) 三、课程设计基本要求: (4) 四、课程设计任务和具体功能 (5) 五、工作原理 (5) 六、设计总框图 (6) 七、电路元器件的说明 (6) 八、总电路图 (27) 九、调试与检测 (28) 十、误差分析: (28) 十一、设计心得体会。 (28) 附录 (28) 参考文献 (28) 一、课程设计题目:8路输出的彩灯循环控制电路

RGB LED彩灯驱动控制方案

RGB LED彩灯驱动控制方案 LED是一种性能优良的显示器件,具有寿命长、节电、高亮度、多种发光颜色、响应速度快和驱动电压低等优点,在节省能源的同时还可以通过PWM器件调节LED发光强度,依据RGB三原色混光原理调出多种颜色,再通过MCU智能控制实现多种显示效果。现正大量应用于城市亮化、建筑景观照明、舞台灯光设计等领域。 本设计方案采用恩智浦半导体(NXP)的电源管理芯片、微控制器、I2C器件、LED驱动器件,为LED灯光系统设计提供全套的方案设计。 主要芯片:PCA9633/34/35:NXP I2总线RGB/RGBA LED闪烁/混光芯片;TEA152x:NXP 电源芯片;LPC92x:NXP 900系列MCU;P82B96/PCA9600:NXP I2C总线驱动芯片。 主要应用: 1. 点光源:应用LED彩色屏显示原理,将其像素放大,降低整体造价成本,每个模块为一个彩色像素点。应用环境:酒吧、KTV、舞台、商场、展厅,作为音乐旋律显示,背景墙装饰、幕墙广告等。 2. LED彩虹管:每条灯管由多个彩色像素点(RGB)组成,每个单色像素点可产生256个灰度级的变化。应用环境:立交桥、河道护栏、建筑外墙等不同场所的装饰照明。 系统硬件设计: LED彩灯控制系统主要包含驱动模块、控制模块、LED电源三部分。 1. 驱动模块设计 如何实现让LED模块呈现不同的颜色,主要依靠人的视觉间歇惰性原理,利用对R、G、B三原色的LED的占空比实现颜色的混合。本设计方案的LED颜色显示主要依靠NXP公司的I2C接口LED闪烁/混光驱动芯片PCA9633(PCA9633-4位PWM输出,PCA9634-8位PWM输出,PCA9635-16位PWM输出)输出256灰度级的颜色来实现彩色显示。控制器只需要传送该模块的RGB颜色的灰度值即可实现颜色显示。 PCA9633是I2C总线控制的可编程PWM输出的四位LED驱动器件,主要应用于LEDRGB/RGBA(Red/Green/Blue/Amber)混光,主要性能指标如下: 1. 4路LED驱动,每路驱动可以通过软件编程为四种状态,分别是:开、关、可编程PWM 闪烁控制输出、可编程每路灰度级别,同时支持四路整体亮度调节的PWM混光输出。 2. 调光控制,PWM输出频率97kHz,每路有256个灰度级别。 3. 闪烁控制,PWM可编程频率范围24Hz~10.73s,占空比可编程范围0%~99.6%。 4. 可通过190Hz的PWM输出对四路PWM输出整体亮度256级调节。 5. 四位输出可编程设置为推拉输出(在5V时,灌电流25mA,拉电流10mA)。 6. 7个硬件地址设定引脚,同一I2C总线最大可接126片。 7. 每片PCA9633有四个可编程软件地址:一个全部响应地址和三个子地址。 8. 内置25MHz晶振和上电复位电路,也可通过I2C总线实现软件复位。 9. SDA/SCL内置噪声滤波器,支持1MHz的I2C快速模式。 10. 支持热插入,低待机电流,支持电压范围:2.3~5.5V。 下图为驱动单元的设计,其中红绿蓝3色LED的数量可以是多个的,根据自己的设计要求可以选择并联或串联的方式,再按照LED的连接方式及电压/电流等要求选择适合的开关管即可,少量的LED也可用PCA9633直接驱动,具体参数见PCA9633资料。驱动模块通过PCA9633的A6~A0管脚设定访问地址,接到控制模块输出的I2C总线上就可正常工作,每条I2C总线可接126块调光驱动模块。

EDA课程设计 彩灯控制器.

电子设计自动化大作业 题目彩灯控制器的设计 学院**学院 班级电气** 学号********** 姓名******** 二O一二年十月三十一日

彩灯控制器的设计 一、彩灯控制器的设计要求 设计能让一排彩灯(8只)自动改变显示花样的控制系统,发光二极管可作为彩灯用。控制器应有两种控制方式: (1)规则变化。变化节拍有0.5秒和0.x秒两种,交替出现,每种节拍可有4种花样,各执行一或二个周期后轮换。 (2)随机变化。无规律任意变化。 二、彩灯控制器的设计原理 本次彩灯控制器的设计包含几个主要模块,一是彩灯显示和扬声器的时序控制部分,二是发光二极管的动态显示和数码管的动态显示,本次设计中,二者的显示同步变化;三是扬声器的控制部分。流程图如下所示: 图 1 彩灯控制器的设计流程图 彩灯控制器的设计核心主要是分频器的使用,显示部分的设计较

简易。分频的方法有很多种,本次设计之采用了其中较简易的一种,通过计数器的分频,将控制器外接的频率分为几个我们预先设定的值。当计数器达到预先设定的值,即产生一个上升沿,从而实现分频。扬声器通过不同的频率控制发出不同的声音。同样发光二极管和数码管的显示速度也由其中分出来的一种频率控制(控制显示频率在1~4 之间为宜)。通过使能端的控制可以控制不同的数码管显示预先设定的图案,数码管依次显示的图案为 AA、BB、CC,并随着发光二极管同步动态显示。AA 为自左向右显示,BB 为自右向左显示,CC 从二边向中间再由中间向二边发散显示。与此同时,显示不同的花型时扬声器发出不同的声音,代表不同的花型。本次设计还带有复位功能,通过复位可以使彩灯控制器恢复到最初的状态。 三、程序设计和分析 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; 建立设计库和标准程序包 实体部分: entity pan is port(clk:in std_logic; clr:in std_logic; speak:out std_logic; led7s1:out std_logic_vector(6 downto 0); led7s2:out std_logic_vector(7 downto 0);

multisim软件的数字电路——彩灯循环控制

数字电路课程设计报告 设计课题:彩灯循环控制器的设计 专业:电子信息科学与技术 班级: 20081421 学生姓名: 学号: 2008142115 学生姓名: 学号: 2008142134 指导教师: 二零一一年六月五日

一、实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 4.掌握multisim软件的操作并对设计进行仿真。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制 器的设计方法。 二、设计要求 1、有十只LED,L 0……L 9 2、显示方式 (1)先奇数灯依次亮 (2)再偶数灯依次亮 (3)依次循环变化 3、显示间隔0.5s,1s可调。 三、设计方案 1)课题的分析 此电路主要由五部分组成,其整体框图如图(一)所示。 图一

2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图(二)所示。 图二 (2)分频电路 用D触发器设置分频电路控制彩灯实现彩灯的显示时间。电路如图三 图三 其中开关C和B用来控制显示方式。

(3)计数器控制电路 用74LS161计数器转换脉冲信号用来控制彩灯的奇偶显示方式。 74LS161N 17 19 图四 (4)计数译码驱动电路 用74154译码74LS161计数器产生的信号驱动彩灯的显示。电路如图五: 74154N 4-16线译码器74154N中00-09接10个LED灯译码。(5)显示电路 用74154驱动彩灯的显示,电路如图六:

实验4 彩灯控制(有程序)

实验4 彩灯控制 一、实验目的 1、理解彩灯的控制过程; 2、掌握PLC的在线编程方法和程序调试方法; 3、熟悉PLC的实际工作过程。 二、实验装置及设备 1、计算机一台; 2、FX2N型PLC 一台; 3、通信传输线一根; 4、彩灯实验装置一套; 5、连接线若干; 三、实验内容 1.彩灯控制系统的组成: 当手按下按钮时,能显示“彩灯控制器”的控制效果,即红灯亮1秒转绿灯亮1秒,再转黄灯亮1秒,然后三灯全亮1秒,最后三灯全灭1秒,就这样循环往复,周而复始,循环周期为5秒。 I/O分配:起动—X10,停止—X11,Y10--红灯,Y11--绿灯,Y12--黄灯。2.控制系统的电路接线图: 实验图中,红、绿、黄灯R、G、Y分别接主机的输出点Y10、Y11、Y12;启动按钮接主机的输入端X10,停止按钮接主机的输入端X11。 3.系统的控制要求: 红灯亮1秒→绿灯亮1秒→黄灯亮1秒→三灯全亮1秒→三灯全灭1秒 ↑___________________________↓ 就这样循环往复,周而复始,循环周期为5秒。改变定时器T的设定值K,可改变各步延时的时间和循环周期。 四、实验要求 1.按照控制电路图进行接线;

2.根据控制要求编写梯形图程序,将程序写入PLC; 3.记录并分析程序执行过程,观察运行结果是否符合预定要求; 运用在线监控功能调试程序,排除故障,对元件动作进行监控。 4.试着将此彩灯控制程序改为用步进指令编程实现,对控制过程进行分析,写出状态转移图及对应的步进顺控程序,下载调试运行。 五、思考题 分析在彩灯控制程序中,如果希望启动按钮每按下一次彩灯就转到下一个状态,则此时程序如何修改?试着编写并进行分析说明。

彩灯循环显示电路

《数字电子技术课程设计》报告—彩灯循环控制器的电路设计 专业:电子信息工程 班级: 姓名: 学号: 指导教师: 2011年6月12 日

1.课程设计目的 通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。巩固所学理论,提高动手能力、创新能力和综合设计能力。为今后工作奠定坚实的基础。 2.课程设计要求 2.1彩灯能够自动循环点亮。 2.2彩灯循环显示且频率快慢可调。 2.3该控制电路具有8路以上的输出。 3.电路组成框图 此电路主要由三部分组成 振荡电路计数驱动电路显示电路 4.元器件清单 元器件名称个数型号主要参数 集成芯片 1 4017BD-5V 集成芯片 1 LM555CN 电阻 3 1K,1K,300 接地 2 滑动变阻器 1 1K 电容 3 10nF,1uF,1nF 直流电源 1 5V 发光二极管10 LED 5.各功能块电路 5.1振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号。电路中555定时器组成多谐振荡器,输出一定频率的矩形脉冲。

U1 LM555CN GND 1DIS 7OUT 3 RST 4VCC 8THR 6CON 5 TRI 2VCC 5V R11k|?R21k|?C110nF C2 1nF 20 4 VCC R31k|? Key=A 50% 1517 16 555定时器组成的多谐振荡电路 U4 LM555CN GND 1DIS 7OUT 3 RST 4VCC 8THR 6CON 5 TRI 2 5.2 4017BD 工作原理 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。 4017有3个输入端(MR 、CP0和~CP1),MR 为清零端,当在MR 端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1-O9)均为低电平。CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP1端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光,此时4017芯片则用CP0时钟输入端,用上升沿来计数。

eda课程设计-彩灯控制器

《EDA技术》课程设计报告 题目:彩灯控制器 专业:电子信息工程 班级:0702 姓名: 指导教师: 二0一0 年 6 月24 日

目录 1设计目的 (3) 2设计题目描述和要求 (3) 3设计原理 (3) 3.1方案论证 (3) 3.2模块设计 (4) 3.3系统结构 (6) 4总结 (7) 5参考书目 (8) 6附录一程序 (9) 7附录二编译 (16) 8附录三时序仿真 (17)

1.设计目的 学习EDA开发软件和MAX+plus Ⅱ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。 2.设计题目描述和要求 1)设计一个彩灯控制器,使彩灯(LED管)能连续发出四种以上不同的显示形式; 2)随着彩灯显示图案的变化,发出不同的音响声。 3)扩充其它功能。 3.设计原理 3.1 方案论证 这次的彩灯设计采用的是分模块来完成的,包括分频器、计数器、选择器、彩灯控制器。其中彩灯控制器是用来输出不同的花样,彩灯控制器的输出则是用一个32进制的计数器来控制,扬声器的输出时用不同的频率来控制,所以用了一个集成分频器来使输入的频率被分为几种不同的频率,不同频率的选择性的输出则是用一个4选一的选择器来控制。基于上述的介绍本次的彩灯控制采用的模式6来进行显示。

图3-1-1 模式6结构图 3.2 模块设计 1)集成分频器模块 设计要求显示不同的彩灯的时候要伴随不同的音乐,所以设计分频器来用不同的频率控制不同的音乐输出。 模块说明: Rst:输入信号复位信号用来复位集成分频器的输出使输出为“0”,及没有音乐输出。 Clk:输入信号模块的功能即为分频输入的频率信号。 Clk_4、clk_6、clk_8、clk_10:输出信号即为分频模块对输入信号clk 的分频,分别为1/4分频输出、1/6分频输出、1/8分频输出、1/10分频输出。

彩灯循环控制电路

课程设计说明书 课程设计名称:电子技术课程设计 题目:彩灯循环控制电路 学生姓名:易秀花 专业:电气工程与自动化 学号: 312008********* 指导教师:胡兵 成绩

摘要:可编程的彩灯控制电路很多,构成方式和采用的集成片种类、。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,脉冲信号发生器和移位寄存器等集成。本次设计的可编程彩灯控制电路就是用555组成的多谐振荡器、寄存器、计数器和数据选择器等来实现,其特点是用发光二极管显示,能实现可预置编程循环功能。 关键字:彩灯,集成片,寄存器,计数器,555定时器组成的脉冲信号发生器Abstract: The programmable control circuit a lot of lights, constitute an integrated approach and use of film types. Most of the lights control circuit are realized with digital circuits, for example, the scale of integrated circuits used in the implementation of the lights control circuit mainly used for counter, decoder, pulse signal generator and shift register such integration.The design of the programmable control circuit is to use lanterns composed of multivibrator 555, registers, counters, and data selector, etc. to achieve, which is characterized with light-emitting diode display, able to function can be pre-programmed cycle. Keywords: lantern, integrated chip, registers, counters, consisting of 555 timer pulse generator

彩灯循环显示电路

计算机科学学院课程设计报告 课程数字逻辑 题目彩灯循环显示电路 年级2010级 专业计算机科学与技术 学号学生 任课教师 2014年 5 月26日

课程设计 题目 彩灯循环显示电路 验收时间验收 地点 9#307 指导 教师 小组 成员 具体分工备注 课题总体设计思想概述 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 这次的课程设计主要是用计数器来实现的,这个彩灯循环控制电路的实质就是要产生一系列有规律的数列,然后通过一个七段数码管显示出来。 而这次的内容还包括分电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然序列,奇数序列,偶数序列还有音乐序列。为了实现这个循环输出的功能,在设计的时候还用到了一个寄存器,可以利用它的输出端来控制四个计数器的工作情况,可以让四个计数器依次工作,就可以达到要求的依次循环输出数列。

设计目的 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数序列,音乐符号序列...... 如此周而复始,不断循环。 设计原理基本组成方框图: 这个设计主要靠计数器来实现的,电路的实质就是要产生一系列有规律的数列,然后通过一个七段数码管显示出来。运用计数器的不同的功能和不同的接发就可以实现不同的序列输出了。 通过电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然 序列,奇数序列,偶数序列还有音乐序列。为了实现这个循环输出的功能,在设 计的时候还用到了一个以为寄存器,可以利用它的输出端来控制四个计数器的工 作情况,可以让四个计数器依次工作,就可以达到要求的依次循环输出数列。

彩灯循环控制器的设计与制作

实训三 彩灯循环控制器的设计与制作 一、设计任务书 1、题目 彩灯循环控制器的设计与制作 2、设计任务 1)彩灯能够自动循环点亮 2)彩灯循环显示且频率快慢可调。 3)该控制电路具有8路以上的输出。 3、设计目的 通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。 4、参考设计方案 方案一: 1)课题的分析 此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路 计数译码驱动电路 显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组 IRT UAL 555定时器组成的振荡电路 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十

进制计数/分频器4017,它是一种用途非常广泛的电路。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。 4017有3个输入端(MR 、CP0和~CP1),MR 为清零端,当在MR 端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1------O9)均为低电平。CP0和~CP1是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP1端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光。 4017有10个输出端(O0—O9)和1 个进位输出端~O5-9。每输入10个计数脉冲,~O5-9就可得到1 个进位正脉冲,该进位输出信号可作为下一级的时钟信号。 由此可见,当4017有连续脉冲输入时,其对应的输出端依次变为高电平状态,故可直接用作顺序脉冲发生器。 4017的管脚仿真图如图(三)所示。其测试电路及波形如图(四)图(五)所示。注意在用multisim 仿真软件时,含有CMOS 时,电源作VDD 。 O 0 3 O 12O 24O 37~CP1 13 M R 15 CP0 14 O 410O 51O 65O 7 6O 89O 911~O 5-9 12 U1 4017BD 图(三)4017的管脚仿真图

彩灯循环电路设计说明

目录 摘要 (Ⅰ) 1 理论知识准备 (1) 2 方案论证 (2) 2.1 备选方案 (2) 2.2 方案选择 (5) 3 电路设计 (7) 3.1 选择器件 (7) 3.1.1 555定时器 (7) 3.1.2 74LS194移位寄存器 (8) 3.2 功能模块 (10) 3.2.1 时钟脉冲产生电路 (10) 3.2.2 彩灯维持电路 (12) 3.2.3 显示电路 (14) 4 电路调试 (15) 4.1 总体电路仿真 (15) 4.2 电路布线 (16) 4.3 电路调试结果 (17) 心得体会 (18) 参考文献 (19)

1 理论知识准备 本次做的彩灯循环控制其实也可以看成是不是用单片机而实现的流水灯电路,流水灯是一串按一定的规律像流水一样连续闪亮。流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。 循环彩灯控制可用多种方法实现,但对现代可编程控制器而言,利用移位寄存器实现最为便利。通常用左移寄存器实现灯的单方向移动;用双向移位寄存器实现灯的双向移动。控制程序中,关键在于数据移位方向的控制。单方向控制的流水灯,使用左移寄存器可容易地实现。如果流水灯的点亮顺序是双向的,则使用双向移位寄存器进行控制。 由于本次设计只是设计了单向的彩灯循环电路,所以彩灯控制电路由三个模块构成,显示电路﹑秒脉冲电路和维持电路。秒脉冲电路全程为电路提供矩形波信号使彩灯定时发亮;显示电路为维持电路提供电源:维持电路在显示电路部分提供电源的情况下为电路提供一段较长的高电平,使彩灯在全部变亮后保持一段时间。同时结合显示电路部分所带元件(主要是74LS194)的性质,使彩灯从右到左依次由暗变亮,亮后维持一段时间,然后熄灭,并且不断重复。 由于本次设计并不是很复杂,所以本设计只采用数字集成电路的555定时器和移位寄存器,产生相应的控制信号,从而控制彩灯的闪烁。数据选择器的输出端接移位寄存器的输入端,在时钟脉冲的作用下,数据在移位寄存器的八位并行输出端从Q0到Q7顺序移动。移动的八位控制信号直接控制发光二极管的亮灭,就出现了八路自动循环切换的流水彩灯。 该设计可以用做广告牌边框灯光设计,如果用条形光柱代替彩灯,还可以作为广告牌的背景闪烁灯光使用,增强广告的感染力。

EDA课程设计汇本报告彩灯控制器

《EDA技术》课程设计报告 学院:信息科学技术学院 专业:通信工程 班级:通信(2)班 姓名:肖强 学号:20081604B064 2011年06月26日

目录 摘要 (1) 一.设计目的 (1) 二.设计题目描述及要求 (1) 三.设计原理 (2) 3.1 方案论证 (4) 3.2 模块设计 (4) 3.2.1集成分频器模块 (4) 3.2.2 32进制计数器模块 (5) 3.2.3 彩灯控制模块 (6) 3.2.4 4选1选择器模块 (6) 3.2.5 4进制计数器模块 (7) 3.3 系统结构 (7) 四.总结 (8) 参考文献 (9) 附录一.编译 (10) 附录二.时序仿真 (10) 附录三.程序 (9)

摘要 循环彩灯的电路很多,循环方式更是五花八门,而且有专门的可编程彩灯集成电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的循环彩灯控制器就是用计数器和译码器来实现,本彩灯控制器能实现四种不同的花型,在呈现出不同花型的同时发出四种不同的声音,数码管用以标记当前呈现的是哪种花型。 [关键词]:计数器,二极管, 数码管,分频器 一.设计目的 学习EDA开发软件和MAX+plus Ⅱ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。 本次课程设计的主要目的是通过电子设计自动化的设计,掌握FPGA应用系统的开发过程,进一步理解FPGA应用系统的工作原理。本课程设计设计了一个多路彩灯控制器,四种彩灯能循环变化,有清零开关,可以变化彩灯闪动频率即可以选择不同的节拍。整个系统有三个输入信号,分别为音频输入脉冲信号clk2,复位清零信号CLR,彩灯输入控制脉冲clk1。最后按照FPGA的开发流程和VHDL 语言建模、仿真、综合、下载、适配,用EDA6000实验箱上的FPGA系统实现了相应的功能。

彩灯循环控制电路

课程设计(论文) 题目名称________________ 彩灯控制器_______________ 课程名称______________ 电子技术课程设计____________ 学生姓名__________________ 刘标___________________ 学号 ____________ 0941201062 ____________ 系、专业___________ 电气工程系09电力一^班_______ 指导教师__________________ 陈邈___________________ 2011年12月9日

邵阳学院课程设计(论文)任务书 2?此表1式3份,学生、指导教师、教研室各1份。

四、参考资料和现有基础条件(包括实验室、主要仪器设备等) 主编张克农?数字电子技术?高教出版社出版?第一版.2006年 彭介华主编?电子技术课程设计指导》?高教出版社出版?第一版.2002年 曾建唐主编?《电工电子基础实践教程》?机械工业出版社.2002年 康光华主编.《电子技术基础》.高教出版社出版.第四版.1999年 电子电工实验室可以提供的主要仪器设备: 示波器型号规格VP-5220、电子学习机型号规格WL-V万用表MF10;以及分立元件、或中规模集成芯片。 五、进度安排 2011年11月28日-29日:收集和课程设计有关的资料,熟悉课题任务何要求; 2011年11月30日-12月1日:总体方案设计,方案比较,选定方案的论证及电路的工作原理; 2011年12月2日-7日:单元电路设计,参数计算,元器件选择,电路图; 2011年12月8日;整理书写设计说明书 2011年12月9日:答辩 六、教研室审批意见 教研室主任(签字):年月日 七卜主管教学主任意见 主管主任(签字):年月日 八、备注 指导教师(签字):学生(签字):刘标

EDA课程设计八路彩灯控制器样本

EDA课程设计 设计题目: 基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.经过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器, 能够控制8路彩灯按照两种节拍, 三种花型循环变化。设计完成后, 经过仿真验证与设计要求进行对比, 检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序, 设计一个两种节拍、三种花型循环变化的8路彩灯控制器, 两种节拍分别为0.25s和0.5s。三种花型分别是: ( 1) 8路彩灯分成两半, 从左至右顺次渐渐点亮, 全亮后则全灭。 (2)从中间到两边对称地渐渐点亮, 全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮, 全亮后逆次序依次熄灭。 四、实验环境

PC机一台; 软件QuartusⅡ6.0 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块: 把时钟脉冲二分频, 得到另一个时钟脉冲, 让这两种时钟脉冲来交替控制花型的速度。 二选一模块: 选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块: 整个系统的枢纽, 显示彩灯亮的情况。 2、系统硬件单元电路设计 1.分频模块设计 实验程序: library ieee; use ieee.std_logic_1164.all; entity fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin

数电课设——彩灯循环显示控制电路设计

课程设计 题目彩灯循环显示控制电路设计学院 专业 班级 姓名 指导教师 2009 年7 月 2 日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 彩灯循环显示控制电路设计 初始条件:Multisim仿真软件; 芯片:74HC390,74HC160,74HC113等。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、设计出完整的彩灯循环显示控制电路设计电路设计; 2、对电路原理各部分进行准确的分析; 3、写出电路的工作过程; 4、对设计电路进行准确的仿真; 5、能够分析出理论与实际的误差原因; 6、本课设的技术要求较简单,能了解原理及分析仿真结果即可。 时间安排: 19周理论讲解及任务安排; 20周方案设计、仿真及制作; 20周答辩。 指导教师签名: 2009 年 7 月 2 日 系主任(或责任教师)签名:年月日

目录 摘要.............................................................. I Abstract........................................................... I I 1、Multisim软件简介 (1) 2、设计目的、任务及要求 (3) 2.1设计目的 (3) 2.2设计任务 (3) 2.3设计要求 (3) 3、设计方案比较 (4) 3.1数列的循环方案比较 (4) 3.2数字显示的部分 (5) 3.3方案选择 (6) 3.4方案的确定 (6) 4、电路设计 (7) 4.1芯片介绍 (7) 4.2原理设计 (9) 4.2.1数列循环电路设计 (9) 4.2.2序列显示电路设计 (10) 4.2.3二分频电路的设计 (12) 4.2.4脉冲产生电路的设计 (12) 5、总原理图设计 (14) 6、电路仿真 (16) 6.1二分频仿真 (16) 6.2脉冲电路仿真 (17) 6.3整体仿真 (18) 7、结语 (19) 参考文献 (20) 附录 (21)

彩灯循环控制电路()

电子技术课程设计 ——四路彩灯控制器设计与制作 学院: 电子信息工程学院 专业、班级: 姓名: 学号: 指导教师: 2011年12月

目录 一.设计任务与要求――――――――――――――-(2)二.总体框图――――――――――――――――――(3)三.选择器件――――――――――――――――――(5)四.功能模块――――――――――――――――――(11)五.总体设计电路――――――――――――――― (14) 六.课程设计心得――――――――――――――― (16)

四路彩灯控制器设计与制作 一、设计任务与要求 设计一个循环可预置序列发生器,并用一控制彩灯的循环显示。不同的预置产生不同的效果。 实现循环序列发生器和彩灯控制电路,使得彩灯按一定的规律循环显示。假定循环规律为:L1—L8的状态是00001111(0表示灭,1表示亮),每隔一秒灯L1—L8的状态依次循环一位,即: 设计控制电路,可自动预置4种不同的初状态,每隔64秒改变一种,并在这四种初状态循环,使得彩灯定时改变显示的效果,假定四种不同的初状态为:00001111,00010001,00110011,01110111 二、总体框图 时钟信号发生电路部分: 振荡器有多种振荡器电路,其中(a)图为CMOS非门构成的振荡器,(b)图为石英晶体构成的振荡器,(c)图为555构成的多谐振荡器。 CMOS非门构成的 振荡器的振荡周期T=1.4RC,555构成的振荡器的振荡周期T=0.7(R 1+2R 2 )C。

我最终还是选择了555构成的振荡器,因为555使用起来方便、简单。通过调节R1,R2和C1的大小调节振荡频率以达到1HZ的秒钟连续脉冲 图1 CMOS非门构成的振荡器(a) 图2石英晶体振荡器(b)

相关主题
文本预览
相关文档 最新文档