当前位置:文档之家› 多路彩灯控制器的设计之EDA课程设计

多路彩灯控制器的设计之EDA课程设计

多路彩灯控制器的设计之EDA课程设计
多路彩灯控制器的设计之EDA课程设计

多路彩灯控制器的设计

[摘要]:当今时代科技发展日异月新,彩灯作为一种景观应用越来越多。在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段。它的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件(PLD)使得EDA技术的应用走向普及。本次设计是十六路彩灯控制器,现代生活中,彩灯已经成为必不可少的景观,本次设计本着与实际生活密切联系的原则,论述了使用VHDL设计十六路彩灯控制器的过程。VHDL为设计提供了更大的灵活性,使程序具有更高的通用性。同时也提高了设计的灵活性、可靠性和可扩展性,为大学生更好地认识社会提供了很好的机会。

[关键词]:电子设计自动化(EDA);VHDL;彩灯控制器;

目录

1 设计方案 (1)

1.1 方案介绍 (1)

1.2 方案分析 (1)

2 模块设计及其功能 (2)

2.1 模块功能描述 (2)

2.2 时序控制模块 (2)

2.3 显示控制模块 (4)

3 十六路彩灯控制系统的实现 (8)

3.1 整体功能描述 (8)

3.2 设计原理 (8)

3.3 程序编译与仿真 (11)

4 总结与体会 (13)

附录源程序: (15)

1 设计方案

1.1 方案介绍

用VHDL语言设计了一个十六路彩灯控制器,能够在六种不同的彩灯花型之间进行循环变化,并可设置花型变化的节奏,且可进行复位。本控制电路采用VHDL语言设计,运用自顶而下的设计思想,按功能逐层分割实现层次化设计。根据多路彩灯控制器的设计原理,将整个控制器分为两个部分,分别为时序控制模块和显示控制模块。时序控制模块实现的功能是产生1\4和1\8的时钟信号。显示控制模块中实现的六种花型分别为:"1100001111000011"

"1111110000111111"

"1010101010101010"

"1010110000110101"

"1011011011011011"

"1110000011100000"

整个电路仅有时序控制和显示控制两个模块。

1.2 方案分析

此方案由时序控制电路和显示控制电路组成,电路组成较简单。且检查电路时易于分析修改,虽然原理相对复杂,但单元电路模块少,而且方案程序编写简单易懂,并能够灵活地添加或删减程序实现多种花型的变化,有很大的灵活性。因此,选择了此方案进行进一步的设计。

2 模块设计及其功能

本次设计分为两个子模块 ,即十六路彩灯时序控制模块和十六路彩灯显示控制模块。

2.1 模块功能描述

时序控制模块的功能是产生输入脉冲的1\4分频脉冲信号和1\8分频脉冲信号,以此控制十六路彩灯的快慢节奏变化。

显示控制模块的功能是使电路产生六种花型并且循环显示,以此实现本次课程设计要求实现的多路彩灯控制器的花型循环显示功能。

模块的设计使得程序得以实现,对于程序的理解和对模块的设计紧密的联系起来利用EDA技术方便快捷的实现了设计。用VHDL进行设计,首先应该理解,VHDL语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次。应充分利用VHDL“自顶向下”的设计优点以及层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的,它使得我们可以从简单的单元入手,逐渐构成庞大而复杂的系统。

2.2 时序控制模块

时序控制模块是本程序的时钟信号选择模块,它的功能是产生输入脉冲的1\4分频脉冲信号和1\8分频脉冲信号,以此控制十六路彩灯的快慢节奏变化。时序控制模块在本电路中起着至关重要的作用,它以彩灯闪动快慢节奏的变化实现了多路彩灯绚丽多彩的花型节奏变化。时序控制电路是整个电路中一个分模块,它的设计对十六路彩灯控制器设计的顺利完成起着决定性的作用。

以下是时序控制电路编译与仿真:

1.时序控制模块VHDL文本输入截图:

图2-1 时序控制模块VHDL文本输入图

Figure 2-1 sequential control module VHDL text input figure

有以下程序:

if chose_key='1' then

if temp="011"then

temp:="000";

cllk<=not cllk;

else

temp:=temp+'1';

end if;

else

if temp="111"then

temp:="000";

cllk<=not cllk;

else

temp:=temp+'1';

本段程序的作用是:当chose_key=’1’时产生基准时钟频率的1\4的时钟信号,否则产生

基准时钟频率的1\8的时钟信号。本段简短的程序很轻松地实现了时钟频率的选择与变

换,要想改变彩灯节奏的快慢,只需利用chose_key选择开关置1或置0即可轻松实现基准时钟频率的1\4的时钟信号和基准时钟频率的1\8的时钟信号的转换,简单并且容易修改,为十六路彩灯控制器的设计提供了更大的灵活性。

2.时序控制模块波形仿真截图:

图2-2 时序控制模块波形仿真图

Figure 2-2 sequential control module waveform simulation diagram

2.3 显示控制模块

显示控制模块是整个电路的显示控制模块。它的主要功能是使电路产生六种花型并且循环显示,以此实现本次课程设计要求实现的多路彩灯控制器的花型循环显示功能。显示控制模块应用VHDL语言设计了本次十六路彩灯的六种循环花型,显示控制电路是整个电路中另外一个分模块,它的出色设计直接决定着十六路彩灯控制器花型循环的花样和质量。

以下是显示控制电路编译与仿真:

1.时序控制模块VHDL文本输入截图:

图2-3 时序控制模块VHDL文本输入图

Figure 2-3 sequential control module VHDL text input figure

有部分程序如下:

process(clr,clk)is

constant f1:std_logic_vector(15 downto 0):="1100001111000011";

constant f2:std_logic_vector(15 downto 0):="1111110000111111";

constant f3:std_logic_vector(15 downto 0):="1010101010101010";

constant f4:std_logic_vector(15 downto 0):="1010110000110101";

constant f5:std_logic_vector(15 downto 0):="1011011011011011";

constant f6:std_logic_vector(15 downto 0):="1110000011100000";

本段程序的作用是定义六种花型,此六种花型可根据个人的喜好灵活进行调节和改变,这在很大程度上增加了程序本身的实用性,又由于是软件程序设计,下载到硬件上后LED灯的排列和摆设更不受程序的影响,可随意插放。

以下程序:

if clr='1' then

current_state<=s0;

elsif rising_edge(clk) then

case current_state is

when s0=>

flower<="zzzzzzzzzzzzzzzz";

current_state<=s1;

when s1=>

flower<=f1;

current_state<=s2;

when s2=>

flower<=f2;

current_state<=s3;

when s3=>

flower<=f3;

current_state<=s4;

when s4=>

flower<=f4;

current_state<=s5;

when s5=>

flower<=f5;

current_state<=s6;

when s6=>

flower<=f6;

current_state<=s1;

其作用是:如果clr='1',则模块正常进行工作,并且以下六种花型"1100001111000011""1111110000111111""1010101010101010""1010110000110101""1011 011011011011""1110000011100000"实现顺序循环显示。如果想改变六种花型的循环顺序,只需修改部分状态即可,本程序有很大的灵活性。

2.时序控制模块波形仿真截图:

Figure 2-4 screenshots timing control module waveform simulation

3 十六路彩灯控制系统的实现

3.1 整体功能描述

1,在时序控制电路DLCD的设计中,利用计数器计数达到分频值时,对计数器进行清零,同时将输出信号反向,这就非常简洁地实现了对输入基准信号的分频,并且分频信号的占空比为0.5。

2,在显示控制电路DLCD的设计中,利用状态机非常简洁地实现了六种花型的循环变换,同时利用六个十六位常数的设计,可非常方便地设置和修改六种花型。

3,对于顶层程序的设计,若为模块较多的系统,最好使用文本的程序设计方式。但因本系统模块较少,既可使用文本的程序设计方式,也可以使用原理图的设计方式。

彩灯显示控制电路是整个设计的核心,彩灯显示控制模块能进行彩灯的图案控制 ,它负责整个设计的输出效果即各种彩灯图案的样式变化。在电路中以1代表灯亮,以0代表灯灭,由 0,1按不同的规律组合代表不同的灯光图案,同时使其选择不同的频率,从而实现多种图案多种频率的花样功能显示。该程序充分地说明了用 VHDL设计电路的简单易修改,即可通过适当地改变程序中输出变量来改变彩灯的花型。时序控制模块对灯闪的速度控制有两种速度:一是1\4分频时钟脉冲 ,二是1\8分频时钟脉冲。并且还可以通过改变clkin的时钟输入信号来产生更多的频率。最后 ,当各个模块均完成上述操作之后 ,即可利用MAXPLUS2的原理图输入 ,调用各个元器件(底层文件) ,以原理图的形式形成最后的十六路彩灯显示系统(顶层文件) ,并且进行仿真。仿真通过 ,即可下载到指定的CPLD芯片里面 ,并进行实际连线 ,进行最后的硬件测试。当然 ,可以将各个模块所生成的元件符号存放在元件库中 ,用以被其它人或其它的设计所重复调用 ,以简化后面的设计。

3.2 设计原理

用VHDL进行设计 ,首先应该了解 ,VHDL语言一种全方位硬件描述语言 ,包括系统行为级,寄存传输级和逻辑门级多个设计层次。应充分利用VHDL“自顶向下”的设计优点以及层次化的设计概层次概念对于设计复杂的数字系统是非常有用它使得人们可以从简单的单元入手,逐渐构成庞大而复杂的系统。

根据系统设计要求可知,整个系统共有三个输入信号:控制彩灯节奏快慢的基准时

钟信号clk_in,系统清零信号clr,彩灯节奏快慢选择开关chose_key;共有十六个输出信号led[15..0],分别用于控制十六路彩灯。

据此,我们可以将整个彩灯控制电路CDKZQ分为两大部分:时序控制电路DLCD和显示控制电路XSKZ。系统的工作原理如下:

时序控制电路DLCD根据输入信号chose_key,clr ,clk_in产生的符合一定要求的、供显示控制电路XSKZ使用的控制时钟信号,而显示控制电路XSKZ则根据时序控制电路DLCD输入的控制时钟信号,输出六种花型循环变化的、控制十六路彩灯工作的控制信号,这些控制信号加上驱动电路一起控制彩灯工作。

首先应进行系统模块的划分 ,规定每一模块的功能以及各个模块之间的接口。最终设计方案由一个十六路彩灯花样循环显示控制器和一个时序控制分模块组成。时序控制模块根据输入信号不同频率的选择不同的时钟信号输送到彩灯循环显示控制器 ,从而达到控制彩灯闪烁速度的快慢 ,整个十六路彩灯控制系统设计的模块图如图所示。

图3-1 十六路彩灯控制系统设计的模块图

Figure 3-1 16 road lights control system module of the design

总体程序如下:

library ieee;

use ieee.std_logic_1164.all;

entity CDKZ is

port(clk_in:in std_logic;

clr:in std_logic;

chose_key:in std_logic;

led:out std_logic_vector(15 downto 0)); end entity CDKZ;

architecture behav of CDKZ is

component SZKZ is

port(chose_key:in std_logic;

clk_in:std_logic;

clr:in std_logic;

clk:out std_logic);

end component SZKZ;

component SLCD is

port(clk:in std_logic;

clr:in std_logic;

led:out std_logic_vector(15 downto 0)); end component SLCD;

signal s1:std_logic;

begin

u1:SZKZ port map(chose_key,clk_in,clr,s1); u2:SLCD port map(s1,clr,led);

end architecture behav;

3.3 程序编译与仿真

VHDL程序输入截图:

图3-2 十六路彩灯控制系统VHDL程序输入图

Figure 3-2 VHDL program input figure 16 road lights control system 以上为文本程序设计的截图,本段程序的作用是将时序控制电路模块和显示控制电路模块结合起来,实现十六路彩灯控制器的设计。

程序波形仿真截图:

图3-3 十六路彩灯控制系统程序波形仿真图

Figure 3-3 program waveform simulation figure 16 road lights control system 由quartus II进行仿真,从仿真波形可以看出,此程序可以实现六种不同花样彩灯的相互变换,每种花样彩灯可以循环变化。但是如果系统的固有频率很大,彩灯的闪烁速度非常快,看到的现象是每个花样的十六个彩灯同时被点亮,为了实现绚丽多彩的景象,必须要在程序中加一个分频进程。

与其它硬件设计方法相比,用VHDL进行工程设计的优点是多方面的:具有很强的行为描述能力,支持大规模设计的分解和已有设计的再利用,可读性好,易于修改和发现错误,可以使用仿真器对VHDL源代码进行仿真允许设计者不依赖于器件,容易发现设计中出现的问题,以便及时处理。实现了设计与工艺无关,可移植性好,上市时间快,成

本低,ASIC移植等优点。

4 总结与体会

课程设计刚开始,拿着选定的题目不知如何入手。毕竟课程设计不同于实验课,电路图和程序都要自己设计。静下心来,仔细分析题目,再加上指导老师的说明与提示和同组成员的帮助,心中才有了谱。将整个系统根据不同的功能化分成模块,再分别进行设计,逐个攻破,最后再将其整合即可。

用VHDL进行设计,首先应该理解,VHDL语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次。应充分利用VHDL“自顶向下”的设计优点以及层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的,它使得我们可以从简单的单元入手,逐渐构成庞大而复杂的系统。通过使用EDA编程既方便又快捷的实现了程序。仿真表明 ,此设计方法能够满足多种不同花样彩灯的变化要求 ,并且该方法便于扩展不同变化模式的彩灯花样。但是试验中也出现了一些不熟练的操作问题和一些复杂程序的不能完全理解都需要我在平时多学习,进一步的完善自己。在实习中经常会遇到一些自己可能暂时无法想明白的问题,请教同学或老师是很好的做法,节省时间也会从别人上上学到更多。在设计时和同学相互交流各自的想法也是很重要的,不同的人对问题的看法总有差异,我们可以从交流中获得不同的思路,其他人的设计一定有比你出色的地方,很好的借鉴,并在大家的商讨中选择最优方案最终一定会得到最好的设计方法。电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它不但能巩固我们已所学的电子技术的理论知识,而且能提高我们的电子电路的设计水平,还能加强我们综合分析问题和解决问题的能力,进一步培养我们的实验技能和动手能力,启发我们的创新意识几创新思维。

整个课程设计过程我都认真地完成了,对此,我总结了以下几点:

第一,两人一组,既加强了我们的动手能力,又让我们学会了团结一致,共同合作才能研究出最好的方案。我们将理论联系实际,在交流中取得进步,从问题中提高自己。

第二,本次课程设计加深了我对EDA技术的进一步深入理解。熟悉了VHDL程序编写和原理图输入法的优缺点,为我以后更好地运用quartus II奠定了良好的基础。

第三,通过这次课程设计,使我受益颇多。了解到课程实习设计是开端,连接是关键,测试是必须。在此基础上学习了数字系统设计的基本思想和方法,学会了科学地分

析实际问题,通过查资料、分析资料及请教老师和同学等多种途径,独立解决问题。同时,也培养了我认真严谨的态度。

参考文献

[1]潘松黄继业EDA技术与VHDL(第三版)2009.9

[2]马建国孟宪元FPGA现代数字系统设计2010.4

[3]陈忠平高金定高见芳基于Quartus Ⅱ的FPGA/CPLD设计与实践2010.4

附录源程序:

1.时序控制电路程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity SZKZ is

port(chose_key:in std_logic;

clk_in:in std_logic;

clr:in std_logic;

clk:out std_logic);

end entity SZKZ;

architecture behav of SZKZ is

signal cllk:std_logic;

begin

process(clk_in,clr,chose_key)is

variable temp:std_logic_vector(2 downto 0);

begin

if clr='1'then ——当clr=’1’时清零,否则正常工作

cllk<='0';temp:="000";

elsif rising_edge(clk_in)then

if chose_key='1' then

if temp="011"then

temp:="000";

cllk<=not cllk;

else

temp:=temp+'1';

end if;

——当chose_key=’1’时产生基准时钟频率的1\4的时钟信号,否则产生基准时钟

——频率的1\8的时钟信号

else

if temp="111"then

temp:="000";

cllk<=not cllk;

else

temp:=temp+'1';

end if;

end if;

end if;

end process;

clk<=cllk;

end architecture behav;

2.显示控制电路程序如下:

library ieee;

use ieee.std_logic_1164.all;

entity SLCD is

port(clk:in std_logic;

clr:in std_logic;

led:out std_logic_vector(15 downto 0));

end entity SLCD;

architecture behav of SLCD is

type state is(s0,s1,s2,s3,s4,s5,s6);

signal current_state:state;

signal flower:std_logic_vector(15 downto 0);

begin

process(clr,clk)is

constant f1:std_logic_vector(15 downto 0):="1100001111000011";

constant f2:std_logic_vector(15 downto 0):="1111110000111111"; constant f3:std_logic_vector(15 downto 0):="1010101010101010"; constant f4:std_logic_vector(15 downto 0):="1010110000110101"; constant f5:std_logic_vector(15 downto 0):="1011011011011011"; constant f6:std_logic_vector(15 downto 0):="1110000011100000"; ——六种花型的定义

begin

if clr='1' then

current_state<=s0;

elsif rising_edge(clk) then

case current_state is

when s0=>

flower<="ZZZZZZZZZZZZZZZZ";

current_state<=s1;

when s1=>

flower<=f1;

current_state<=s2;

when s2=>

flower<=f2;

current_state<=s3;

when s3=>

flower<=f3;

current_state<=s4;

when s4=>

flower<=f4;

current_state<=s5;

when s5=>

flower<=f5;

current_state<=s6;

when s6=>

flower<=f6;

current_state<=s1;

end case;

end if;

end process;

led<=flower;

end architecture behav;

3.总体程序如下:

library ieee;

use ieee.std_logic_1164.all;

entity CDKZ is

port(clk_in:in std_logic;

clr:in std_logic;

chose_key:in std_logic;

led:out std_logic_vector(15 downto 0)); end entity CDKZ;

architecture behav of CDKZ is component SZKZ is

port(chose_key:in std_logic;

clk_in:std_logic;

clr:in std_logic;

clk:out std_logic);

end component SZKZ;

彩灯控制器课程设计汇本报告

数字电子技术课程设计报告 专业名称: 班级: 姓名: 学号: 日期:

目录 一、设计要求 (1) 二、设计的作用、目的 (1) 三、设计的具体实现 (1) 1、系统概述 (1) 2、单元电路设计、分析与仿真 (2) 3、电路的安装与调试 (6) 四、心得体会及建议 (7) 五、附录 (7) 六、参考文献 (8)

设计报告 一、设计要求 说明:1.以十个指示灯作为显示器件,能自动的从左到右、从右到左的依次被点亮,如此周而复始,不断循环。 2.打开电源时可自动清零,每个指示灯被点亮的时间约为0.5S—2S 围。 3.用计算机画出电路设计图,进行仿真分析验证其正确性。 4.写设计说明书一份。 二、设计的作用、目的 1、为了培养学生运用相关课程的基础理论和技能解决实际问题,并进一步提高学生抓也基本技能、创新能力的重要实践教学环节。 2、培养学生正确的设计思想与方法、严谨的科学态度和良好的工作作风。 3、通过课程设计,学生受到设计与写作方法的初步训练,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计。 4、巩固、深化和扩展学生的理论知识与初步的专业技能。 5、熟悉各种芯片的功能和应用。 6、完成彩灯控制器的设计,绘出电路原理图。 7、调试电路,是否能正常工作。 理工大学课程设计:彩灯控制器 三、设计的具体实现 1)系统概述

此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路计数译码驱动电路显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图二所示。 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。

多彩循环彩灯控制器设计.

1 设计意义及要求 1.1 设计意义 (1)通过此次课程设计,加深同学们对理论知识的理解,培养同学们的动手动脑能力以及解决实际问题的能力。 (2)培养同学们之间相互学习、相互交流合作共同解决问题的能力。 (3)培养同学们对电子设计的兴趣,查阅相关资料解决疑难的能力。 (4)同学们自学protues仿真软件画电路图并进行仿真操作,培养学生的自学能力。(5)增强同学们的创新能力,鼓励同学们设计出属于自己的方案。 1.2 设计要求 现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案: (1)使8只彩灯从右到左逐一循环点亮。 (2)使8只彩灯按照 1110 1110左移循环点亮。 (3)使8只彩灯交替闪烁。 (4)接着重复以上的动作,这样一直循环下去。时间间隔为0.5秒。 (5)严格按照课程设计说明书要求撰写课程设计说明书。 2 方案设计 2.1 设计思路 2.1.1 设计方案一 设计要求彩灯完成三个可以循环的功能,于是就把设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。由555多谐振荡器产生脉冲,再用74LS161进行分频,为电路提供脉冲信号。彩灯花样控制电路由74LS198和门电路构成。循环选择控制电路由74LS161和门电路组成。 图2.1 多彩循环彩灯控制器设计方案一系统框图

具体设计步骤:先将每个设计的功能要求的单独电路画出来,通过74LS198双向移位寄存器来实现对彩灯的直接控制。在完成单个功能要求之后,通过计数器74LS161配合相应的门从而选择哪一个门电路结构工作,把预先存在相应门电路结构输入端的数据送到移位寄存器74LS198的数据输入端,并对其进行置数。给移位寄存器加上相应的反馈,配合脉冲工作,当移位寄存器输出一种彩灯花样结束后,就重新置一次数,切换一种工作状态,从而实现在三种彩灯花样之间的循环。 2.1.2 设计方案二(小组方案) 此方案运用AT89S52单片机,通过IO口直接驱动LED灯。通过编写相应的程序从而实现在三种工作状态之间的切换。 设计框图如图2.2所示: 图2.2 多彩循环彩灯控制器设计方案二系统框图 2.2 方案设计 2.2.1 设计方案一电路图 多彩循环彩灯控制器设计方案一电路原理图如图2.3所示: 工作原理: 一、接通电源,555多谐振荡器产生周期为0.5秒的脉冲信号,将脉冲信号分别送 给计数器74LS161(1)和移位寄存器74LS198。计数器74LS161(1)按照反馈 置数法连接成8进制计数器从而实现对脉冲信号周期的扩展,即将原脉冲进行8 分频,得到周期为4秒的脉冲信号。用周期为4秒的脉冲信号触发下一个计数器 74LS161(2),把74LS161(2)连接成3进制计数器,Q1Q0就有三种循环状态00,01,10,00…… 二、将8个二输入与门7408作为一组,每个与门的选出一个输入端口连接在一起, 接控制信号,一共放置3组,组成3个与门结构。每组的二输入与门的另一个输 入端分别接上移位寄存器74LS198所需要的预置数,3组分别为00000001,111011

花样彩灯课程设计

摘要在许多场合都可以看到彩色霓虹灯,LED彩灯由于其彩色丰富,造价低廉,控制简单等特点受到了广泛的应用。本系统是以51系列单片机AT89C51为主控器,附有LED发光二极管,用51系列单片机AT89C51来控制LED发光二极管亮灭,系统运行稳定,花样多多。 关键词彩色霓虹灯AT89C51 LED 一方案设计与论证 1.1单片机 方案一STC89C52 STC89C52是STC公司生产的一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash存储器。能为许多嵌入式控制引用系统提供高灵活、超有效的解决方案。 方案二AT89C51 AT89C51是一种带4K字节Flash存储器的低电压、高性能COMS 8位微处理器。AT89C51单片机能为很多嵌入式系统提供一种灵活性高且价廉的方案。 虽然STC89C52具有51单片机不具备的功能,单本系统操作简单,且51单片机价格低廉,所以我们选择方案二。 二硬件电路设计 2.1 主控模块 2.1.1 AT89C51引脚图

图2.1.1 AT89C51引脚图 2.1.2AT89C51引脚功能介绍 Vss:接地端。 Vcc:电源端。 XTAL1:接外部晶体的一个引脚。CHMOS单片机采用外部时钟信号时,时钟信号由此脚引入。 XTAL2:接外部晶振的一个引脚。HMOS单片机采用外部时钟信号时,外部时钟信号由此脚接入。 RST:(1)复位信号输入;(2)Vcc掉电后,此引脚可接备用电源,低功耗条件下保持内部RAM中的数据。 ALE//PROG:地址锁存允许。 /PSEN:程序锁存允许。 /EA/Vpp:EA=0,单片机只访问外部程序存储器。EA=1,单片机访问内部程序存储器。 P0口----P0口可以作为输入/输出口,在实际应用中,常作为地址/数据总线口,即低8位地址与数据线分时使用P0口。低8位地址由ALE信号的下跳沿锁存到外部地址锁存器中,而高8位地址由P2口输出。 P1口----P1口每一位都能作为可编程的输入或输出口线。 P1.0:T2引脚,定时/计数器2外部计数脉冲。 P1.1:T2EX引脚,定时/计数器2触发和方向控制。 P1.5:MOSI引脚,在系统编程数据输入。 P1.6:MISO引脚,在系统编程数据输出。 P1.7:SCK引脚,在系统编程时钟输入。 P2口----P2口可以作为输入口或输出口使用,外接存储器和I/O接口时,又作为扩展系统的地址总线,输出高8位地址,与P0口一起组成16位地址总线。对于内部无程序存储器的单片机来说,P2口一般只作为地址总线使用,而不作

彩灯课程设计报告材料

《8路彩灯控制电路》 课程设计报告 专业:计算机科学与技术 班级:一班 姓名:XX 学号: 6 同组成员: 指导教师:伟 2015年 6 月23 日

目录 一、课程设计目的 (2) 二、课程设计题目描述和要求 (2) 三、课程设计报告容 (2) 四、总结,设计体会 (9)

一、课程设计目的 1.了解数字电路设计的基本思想和方法 2.进一步掌握数字电路课程所学的理论知识 3.熟悉集中常用集成数字芯片,掌握其工作原理 二、课程设计题目描述和要求 实现彩灯控制要求设计的彩灯路数较少,且花型比较简单,因此采用74LS194移位寄存器和74LS161四进制同步加法计数器以及简单的逻辑器件来控制彩灯电路。 (一)彩灯控制器设计要求 设计制作八路彩灯控制电路,用以控制八个LED彩灯按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯按预设花型闪烁 2.LED灯按照事先设计的方式工作 (二)课程设计总体要求 1.根据设计任务,每组成员共同完成一份设计电路图 2.根据设计的电路图,两人一组利用万能板完成电路焊接,并调试成功 三、课程设计报告容 (一)原理分析 1.电路主要分为三个部分: 第一板块:实现脉冲时钟信号的产生; 第二板块实现花型的控制及节拍控制; 第三板块实现花型的演示。 2.根据实验所提供的器材,我的设计思路如下: ○!时钟信号由一个单脉冲提供; ○2花型控制电路由两片74LS161 四位二进制同步加法计数器和两个非门共同完成; ○3花型演示电路由两片74LS194移位寄存器完成,可以左移右移完成花型变

化。 3.花型控制电路设计: 花型1:8路彩灯分为上下两部分,每部分从下向上依次亮,然后从下向上依次灭,循环两次。 花型2:从两边到中间依次对称地渐亮,全亮后仍由两边到中间逐次灭,循环两次。 花型3:从中间到两边依次对称地渐亮,全亮后仍由中间到两边逐次灭,循环两次。 花型4:8路点灯分为上下两部分,每部分从上向下依次亮,然后从上向下依次灭,循环两次。 4.将两片74LS194分为低位片和高位片,再将其输出端从低位到高位记为L1~L8,所得四种花型的L1~L8状态值变化情况如下表: 四种花型每种显示两边,1~16显示第一个花型,17~32显示第二个花型,33~48显示第三个花型。 5.要用194芯片实现四个花型的连续显示必须对两片194的S1和S0,SL和SR 的每一节拍变化进行相应的改变。两片161的输出端从Q0~Q7根据变化的花型的频率选用高位片的Q4和Q5分别去控制194的S1和S0。它们的SR和SL则有低位161片的Q2经过非门来控制,这样就可以实现对花型变化周期的控制。各花型和其对应的194的S1、S0、SR、SL的输出信号及节拍控制信号列表如下:

八路彩灯课程设计八路彩灯控制器的设计

课程设计报告 课程: 数字电路 题目:八路彩灯控制器的设计 院系: 物理与电子信息学院 专业: 2011级电子信息科学与技术 学号: 20111302031 姓名: 李俊 完成日期: 2008 年 6 月 22 日

摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: ⑴八路彩灯从左向右逐次渐亮,间隔为1秒。 ⑵八路彩灯从右向左逐次渐灭,间隔为1秒。 ⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在实验板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。 4.参考器件 555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。 二、总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。 三、总体设计原理 1.基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和

彩灯循环控制器设计

课程设计(论文) 题目名称循环彩灯控制器设计 课程名称电子技术课程设计 学生姓名 学号 系、专业电气工程系、电气工程及其自动化指导教师 2011年12月16 日 I

邵阳学院课程设计(论文)任务书 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效;2.此表1式3份,学生、指导教师、教研室各1份。 II

指导教师(签字):学生(签字): III

邵阳学院课程设计(论文)评阅表 学生姓名学号 系电气工程系专业班级09电气工程及其自动化专业题目名称循环彩灯控制器的设计课程名称电子技术课程设计 一、学生自我总结 二、指导教师评定 注:1、本表是学生课程设计(论文)成绩评定的依据,装订在设计说明书(或论文)的“任务书”页后面; 2、表中的“评分项目”及“权重”根据各系的考核细则和评分标准确定。 IV

摘要 当今社会,经济发展迅速,人们对周围环境的要求也越来越高。人们渴望在一个多姿的环境中生活,那样也不会太单调寂寞。霓虹灯以它炫彩的英姿征服了人们的眼球,为人们的生活添姿加彩。随着人们生活环境的不断改善和美化,在许多场合可以看到彩色流水灯,以往死寂般的夜空也开始变得鲜活。 霓虹灯发展到现在已经慢慢的融入到了我们生活的点点滴滴,在我们习以为常的环境中,变换着它们的色彩,绚烂着人们的生活。本次实验设计中我们在原有的基础上改进,设计这款彩灯循环发光控制器,通过对NE555集成时基电路和计数器/译码分配器CD4017等集成块的合理组建,实现了对彩灯的循环发光控制功能。 关键词:计数器;数据选择器;移位寄存器;彩灯显示电路 V

PLC彩灯控制课程设计

P L C彩灯控制课程设计 The Standardization Office was revised on the afternoon of December 13, 2020

基于P L C 的彩灯控制

目录 课程设计任务书 (1) 引言 (2) 一 PLC的定义及发展 (3) 二系统分配 (6) 硬件分配图 (6) 软件分配图 (7) 三方案设计 (8) 顺序工程图 (8) 程序运行步骤 (9) 四总结 (10) 参考文献 (11)

基于PLC的彩灯控制 一、控制要求: 系统启动后,L1、L5同时亮,然后熄灭;之后L2、L8同时亮,然后熄灭;接着L3、L7同时亮,然后熄灭;最后L4、L6同时亮,然后熄灭,按照此方式循环5次后再逆向闪烁5次,并循环。 二、设计任务 1.设计出硬件系统的结构图、接线图; 2.系统有启动、停止功能; 3.运用功能指令进行PLC控制程序设计; 4.程序结构与控制功能自行创新设计; 5.进行系统调试,实现上述功能。

引言 随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观。小型的彩灯多为采用霓虹灯管做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,数字电路则不能胜任。针对PLC日益得到广泛应用的现状,本文介绍PLC在不同变化类型的彩灯控制中的应用,灯的亮灭、闪烁时间 及流动 方向的控制均通过PLC来达到控制要求。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式,可分为三种主要类型:长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过PLC控制。流水灯负载变化频率高,变换速度快,使人有眼花缭乱之感,分为多灯流动、单灯流动等情形。变幻灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化,但频率不高。流水灯及变幻灯均适宜采用PLC控制。 关键词:PLC 循环控制

彩灯双向循环电子技术课程设计实验报告

安徽农业大学经济技术学院 电子技术课程设计报告书 课题名称一种多种波形发生器设计 姓名汪亦嘉 学号150103233 院、系、部机械工程系 专业机械设计制造及其自动化 指导教师李琰 2017年 6月 13 日

一、设计任务及要求: 1、用中规模计数器设计双向流动彩灯控制器。 2、要求彩灯双向流动点亮,其闪烁频率在1~10Hz内可调。 3、要求用555定时器设计时钟脉冲,五路彩灯采用五个发光二极管代替。设计要求 4、双向流动彩灯控制器的直流稳压电源要求自行设计。 5、在选择器件时,应考虑成本。 6、根据技术指标,通过分析计算确定电路和元器件参数。 7、画出电路原理图(元器件标准化,电路图规范化)。 指导教师签名: 2017年6月日二、指导教师评语: 指导教师签名: 2017年6月日

三、成绩 指导教师签名: 2017年6月日

一、设计目的 1、对模拟电子技术的的直流电源中的整流、滤波、稳压等环节加深印象。 2、了解直流电源各部分的结构。 3、加深对于555定时器的内部结构的理解。 4、将74LS161改为十六进制以内的任一进制计数器。 5、Mulsitim 电路仿真软件应用灵活。 二、方案论证 设计一个双向彩灯控制器,控制五路彩灯。 方案一:以555定时器为基础连接成多谐振荡器产生周期在1~10Hz 矩形时钟脉冲,用以启动74LS161计数器。并用74LS161构成八进制加法计数器通过74LS138译码器输出给五个彩灯,实现双向循环。方案一原理框图如图1所示。 图1 双向彩灯控制器方案一的原理框图 方案二:与方案一的第一部分原理相同同样采用555定时器构成多谐振荡器,用来产生1~10Hz 的周期矩形时钟脉冲,启动计数器。第二部分采用四进制加法计数器和四进制减法计数器结合实现循环,第三部分同样采用74LS138译码器输出给五路彩灯,实现循环。方案二原理框图如图2所示。 图2 双向彩灯控制器方案二的原理框图 最终本设计采用的是方案一,只采用一个八进制加法计数器,循环简单方便,仅需一次循环就可实现五路彩灯双向流动。在考虑成本的条件下,节省器件。所以方案一更加合适。 多谐振荡器 八进制加法计数器 译码器 彩灯 多谐振荡器 四进制加法计数器 四进制减法计数器 译码器 彩灯

八路彩灯控制器 课程设计

目录 第一章总体设计 (5) 1.1设计目的 (5) 1.2设计任务与要求 (5) 1.3基本工作原理 (5) 1.4设计方案 (5) 第二章单片机简介 (6) 2.1单片机结构 (6) 2.2单片机的封装形式、引脚定义及功能 (7) 2.3单片机的工作原理 (8) 2.4 CPU的工作原理 (8) 2.5存储器结构 (9) 2.6 CPU时序及时钟电路 (10) 2.7复位操作 (12) 第三章硬件设计 (14) 3.1整体硬件接结构 (14) 3.2功能模块电路 (14) 3.3系统硬件原理电路图 (16) 第四章软件设计 (17) 4.1软件总体结构设计 (17) 4.2各功能模块设计 (18) 总结与心得 (25) 参考文献: (26)

第一章总体设计 1.1设计目的 1)了解单片机的基本原理及相关的简单应用。 2)掌握用单片机设计系统的一般步骤。 3)了解LED数码管的基本知识和驱动方法。 4)掌握单片机系统各个组成部分的作用以及分布位置。 5)学会运用单片机的硬件资源。 1.2设计任务与要求 8个彩灯的控制电路的任务为:用AT89S51单片机设计设计一个8个彩灯控制电路。要求:完成以下花形变化: 1) 从左到右依次点亮,8个灯全亮;从右到左依次熄灭,8个灯全灭。时间节拍为1秒。 2)从两边向中间依次点亮,8个灯全亮;从中间向两边依次熄灭,8个灯全灭。时间节拍为1秒。 3)循环往复,用LED管模拟彩灯。 4)用汇编语言编程,用proteus仿真。 1.3基本工作原理 此次使设计一个能控制八路彩灯的控制器,其中彩灯用发光二极管模拟。由P1.6和P1.7口控制电路启动与停止,根据彩灯的亮灭要求,利用数据指针查表,将查到的内容送给P2口进行显示,然后调用1s延时程。若查到的内容为跳出代码就重新开始循环。 1.4设计方案 软件方面:通过汇编语言编程实现不同要求的状态,由延时程序实现1秒的延时时间。硬件方面:彩灯启动与停止由P1.6和P1.7口进行控制,彩灯的显示状态由AT89C51的P2口输出显示。芯片的控制由AT89C51的外接晶振和复位电路进行控制。

多彩循环彩灯控制器设计

多彩循环彩灯控制器设 计 Document number:WTWYT-WYWY-BTGTT-YTTYU-2018GT

1设计意义及要求设计意义 (1)通过此次课程设计,加深同学们对理论知识的理解,培养同学们的动手动脑能力以及解决实际问题的能力。 (2)培养同学们之间相互学习、相互交流合作共同解决问题的能力。 (3)培养同学们对电子设计的兴趣,查阅相关资料解决疑难的能力。 (4)同学们自学protues仿真软件画电路图并进行仿真操作,培养学生的自学能力。(5)增强同学们的创新能力,鼓励同学们设计出属于自己的方案。 设计要求 现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案: (1)使8只彩灯从右到左逐一循环点亮。 (2)使8只彩灯按照 1110 1110左移循环点亮。 (3)使8只彩灯交替闪烁。 (4)接着重复以上的动作,这样一直循环下去。时间间隔为秒。 (5)严格按照课程设计说明书要求撰写课程设计说明书。 2 方案设计 设计思路 设计方案一 设计要求彩灯完成三个可以循环的功能,于是就把设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。由555多谐振荡器产生脉冲,再用74LS161进行分频,为电路提供脉冲信号。彩灯花样控制电路由74LS198和门电路构成。循环选择控制电路由 74LS161和门电路组成。

图多彩循环彩灯控制器设计方案一系统框图 具体设计步骤:先将每个设计的功能要求的单独电路画出来,通过74LS198双向移位寄存器来实现对彩灯的直接控制。在完成单个功能要求之后,通过计数器74LS161配合相应的门从而选择哪一个门电路结构工作,把预先存在相应门电路结构输入端的数据送到移位寄存器74LS198的数据输入端,并对其进行置数。给移位寄存器加上相应的反馈,配合脉冲工作,当移位寄存器输出一种彩灯花样结束后,就重新置一次数,切换一种工作状态,从而实现在三种彩灯花样之间的循环。 设计方案二(小组方案) 此方案运用AT89S52单片机,通过IO口直接驱动LED灯。通过编写相应的程序从而实现在三种工作状态之间的切换。 设计框图如图所示: 图多彩循环彩灯控制器设计方案二系统框图 方案设计 设计方案一电路图 多彩循环彩灯控制器设计方案一电路原理图如图所示:

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

声控音乐彩灯课程设计报告

设计题目:声控音乐彩灯 专业电气工程学院 班级 1008班 学号 10291246 学生姓名乔茜 指导教师叶晶晶 提交时间2012年6月29日

目录 一、概述 (2) 1.1目的 (2) 1.2课程设计的组成部分 (2) 二、总结 (5) 3.1课程设计进行过程及步骤 (5) 3.2所遇到的问题,你是怎样解决这些问题的........ 错误!未定义书签。 3.3体会收获及建议 (7)

一、概述 1.1目的 我们都知道,人发出的声音信号中的电压信号是及其微弱的,只是在毫伏级别,而其频率信号一般在几十到几百赫兹,我们希望用声音信号来控制信号灯闪烁的频率,从而可以让大家直观得看到自己说话声音“音符”,如果加上音乐,那么彩灯便会随着音乐的跳动而闪烁出不同的频率。为了实现上述功能,我们首先要将微弱的声音信号放大,然后将声音信号进行降频,降到可以被肉眼分辨出的频率。因此,此电路可以分为三部分:一、电压信号两级放大部分二、频率信号降频部分三、彩灯显示部分 1.2课程设计的组成部分 一:电压信号两级放大部分 这部分我们先用一个电容滤去直流部分,然后通过一个三极管将电压进行第一级放大,再次电容滤去直波,再通过一个三极管放大。经过两次放大后,电压被放大了100倍,这样就将毫伏级别的电压放大到了级别为伏的电压信号,为下一步降频做准备。 以下是第一部分的仿真:

二:频率信号降频部分 电路主要是四个集成芯片CD4017构成。CD4017是十进制计数器/分频器,其内部由计数器及分频器两部分组成,由译码输出现实对脉冲的分配,整个输出时序就是Q0、Q1、Q2、Q3···、Q9依次出现与时钟同步的高电平,宽电平等于时钟周期。 CD4017有3个输入端(MR、CP0和CP1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出Q0为高电平,其余输出端(Q1~Q9)均为地电平.CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号有CP0端输入,若要下降沿来计数,则信号由CP1端输入。 CD4017有10个输出端(Q0~Q9)和1个进位输出端CO。每输入10个计数脉冲,C0就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号,输出端依此变为高电平。 在C1中将11与15相接,即输入端14每输入9个信号时发生一次清零,达到降频的目的。C2中将C1送来的信号分配开,并分在10个端子上得到顺序正脉冲,以达到降频、分频的目的。 第一个芯片进行的是第一次分频,接下来的三个芯片是并联接入状态,其14与第一个的3相连,并利用3,4,10,5,9五个端口进行输出。 以下是第二部分的仿真部分:

EDA课程设计八路彩灯控制器样本

EDA课程设计 设计题目: 基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.经过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器, 能够控制8路彩灯按照两种节拍, 三种花型循环变化。设计完成后, 经过仿真验证与设计要求进行对比, 检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序, 设计一个两种节拍、三种花型循环变化的8路彩灯控制器, 两种节拍分别为0.25s和0.5s。三种花型分别是: ( 1) 8路彩灯分成两半, 从左至右顺次渐渐点亮, 全亮后则全灭。 (2)从中间到两边对称地渐渐点亮, 全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮, 全亮后逆次序依次熄灭。 四、实验环境

PC机一台; 软件QuartusⅡ6.0 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块: 把时钟脉冲二分频, 得到另一个时钟脉冲, 让这两种时钟脉冲来交替控制花型的速度。 二选一模块: 选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块: 整个系统的枢纽, 显示彩灯亮的情况。 2、系统硬件单元电路设计 1.分频模块设计 实验程序: library ieee; use ieee.std_logic_1164.all; entity fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin

EDA课程设计 彩灯控制器.

电子设计自动化大作业 题目彩灯控制器的设计 学院**学院 班级电气** 学号********** 姓名******** 二O一二年十月三十一日

彩灯控制器的设计 一、彩灯控制器的设计要求 设计能让一排彩灯(8只)自动改变显示花样的控制系统,发光二极管可作为彩灯用。控制器应有两种控制方式: (1)规则变化。变化节拍有0.5秒和0.x秒两种,交替出现,每种节拍可有4种花样,各执行一或二个周期后轮换。 (2)随机变化。无规律任意变化。 二、彩灯控制器的设计原理 本次彩灯控制器的设计包含几个主要模块,一是彩灯显示和扬声器的时序控制部分,二是发光二极管的动态显示和数码管的动态显示,本次设计中,二者的显示同步变化;三是扬声器的控制部分。流程图如下所示: 图 1 彩灯控制器的设计流程图 彩灯控制器的设计核心主要是分频器的使用,显示部分的设计较

简易。分频的方法有很多种,本次设计之采用了其中较简易的一种,通过计数器的分频,将控制器外接的频率分为几个我们预先设定的值。当计数器达到预先设定的值,即产生一个上升沿,从而实现分频。扬声器通过不同的频率控制发出不同的声音。同样发光二极管和数码管的显示速度也由其中分出来的一种频率控制(控制显示频率在1~4 之间为宜)。通过使能端的控制可以控制不同的数码管显示预先设定的图案,数码管依次显示的图案为 AA、BB、CC,并随着发光二极管同步动态显示。AA 为自左向右显示,BB 为自右向左显示,CC 从二边向中间再由中间向二边发散显示。与此同时,显示不同的花型时扬声器发出不同的声音,代表不同的花型。本次设计还带有复位功能,通过复位可以使彩灯控制器恢复到最初的状态。 三、程序设计和分析 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; 建立设计库和标准程序包 实体部分: entity pan is port(clk:in std_logic; clr:in std_logic; speak:out std_logic; led7s1:out std_logic_vector(6 downto 0); led7s2:out std_logic_vector(7 downto 0);

流水灯课程设计(免费)..

数字电子技术课程设计报告 (彩灯控制器) 专 专业:电子信息工程 班级:7B1211 学号:123025 姓名:白旭飞 年月:2014-6-28

一、设计要求 1. 以8或10个指示灯作为显示器件,能自动的从左到右、从右到左自动的依次被点亮,如此周而复始,不断循环。 2.打开电源时控制器可自动清零,每个指示灯被点亮的时间相同约为0.5S~2S 范围内。 3.用计算机画出设计电路图,进行仿真分析验证其正确性。 4.写设计说明书一份(画总原理框图以及说明主要工作原理,单元电路的设计和元器件的选择,画出完整的电路图和元器件明细表,收获、体会及建议) 二、设计的作用,目的 1.作用 利用控制电路可使彩灯(例如霓虹灯)按一定的规律不断的改变状态,不仅可获得良好的观赏效果,且可以省电(与彩灯全亮相比)。 2.目的 用NE555芯片,74LS151芯片,74LS163芯片,74LS194,以及一些逻辑门芯片完成彩灯控制器。 三、设计的具体实现 1.系统概述 接通电源时,555占空比可调振荡器产生1s单位的脉冲,脉冲送到下一个模块74LS151计数器,目的实现模5计数器,达到每五秒生成一个脉冲输向下一个芯片74LS194移位寄存器以及计数器74LS163。进而彩灯在脉冲的作用下依次点亮,并实现循环,完成实验要求。 2.总体思路 先用555定时器用来生成1s标准单位cp脉冲,把脉冲给计数器74LS151,通过74LS151形成模5加法计数器,再将74LS151输出信号供给74LS194移位寄 存器输入端,Q 0,Q 1, Q 2 和Q 3 接彩灯然后连接几个逻辑门,把74LS194接成环形 计数器。就能实现基本电路要求。 3.方案设计 总体电路共分三大块。第一块实现时钟信号的产生;第二块实现灯亮灭情况的演示;第三块实现灯亮灭的控制及节拍控制。

单片机的彩灯课程设计报告

一、系统设计 本设计以STC89C52单片机为核心,用独立键盘控制模式的选择,STC89C52单片机接收到键盘信号后控制发光二极管以不同的模式闪烁的实验装置,用STC89C52单片机控制8个发光二极管发光,实现亮点的循环移动。通过Protel软件设计,布线排版,手工制版,并能掌握通过软件控制发光二极管的思路和技巧。这次设计重点就在于利用单片机的知识去控制系统的运行,图1-1为整体方案设计框图。 图1-1 总体方框图 1.设计要求: a.上电复位。 b.上电后数码管消隐,LED灯全灭。 c.当按下第一个按键后数码管显示1第二个按键显示2以此类推。 d.每个按键控制不同的闪烁模式。 e.数码管显示有保持功能。

二、方案设计 本设计总体设计思路为:以STC89C52单片机为核心,用独立键盘作STC89C52单片机的信号输入,STC89C52单片机接收到键盘信号后经单片机内部软件处理后将数据输出至P1口和P0口,使8发光二极管以不同的模式闪烁,并由七段数码管显示其闪烁模式编号。在课程设计中通过Protel软件设计原理图,布线排版,用万能版(单孔)手工焊接制作出实物。 1.方案论证 方案一:采用汇编语言编程的软件的STC89C52单片机系统。 本方案设计的核心为STC89C52单片机系统,包括硬件和软件,硬件采用四位独立键盘做信号输入,STC89C52单片机进行信号处理并输出,显示部分为八个发光二级管和七段数码管,八个发光二极管采用共阴极接法,七段数码管采用共阳极的。软件采用Kell编辑的汇编语言程序,并烧写至STC89C52单片机。图2-1为方案一方框图 图2-1 方案一方框图

循环彩灯控制器的电路设计

数字电子技术课程设计题目循环彩灯控制器的电路设计 院系 专业 学生姓名 学号 指导教师 二O一O年十二月二十一日

循环彩灯控制器的电路设计 摘要:本课题主要研究循环彩灯控制器的电路设计,它由直流电源、555振荡器、4510计数器、4028译码器、双D触发器及彩灯等几大部分组成,其中直流电源共有5V和7V两种,均由桥式整流滤波电路产生,其中5V电源主要供给循环彩灯控制电路的主电路(彩灯部分)而7V电源主要共给其控制电路;555振荡器主要为电路产生时钟脉冲提供给计数器;而4510计数器在双D触发器的控制下实现加减计数的功能;4028译码器在4510计数器的基础上产生顺序脉冲信号提供给彩灯,3路彩灯在顺序脉冲的作用下依次正循环和反循环的闪亮。 关键字:循环;计数器;译码器;振荡器 Circulation lights controller circuit design Abstract: the article mainly studied circulation lights controller circuit design, it consists of dc power supply, 555 oscillator, 4510 counters, 4028 decoder, double D flip-flop and lights and several other major components, including dc power were 5V and 7V two kinds, all by bridge rectifier filter circuits produce, including 5V power supply main supply circulation lights control circuit of main circuit (colored lantern part of 7V power mainly to the control circuit, 555 oscillator mainly for circuit produce clock pulse provide counter, And 4510 counter on double D flip-flop is under the control of the realization of the function; add and subtract counting 4028 decoder in 4510 counter on the basis of sequence pulse signal generated provide lights, 3 road lights in order pulse in turn is under the action of circulation and reverse circulation ablaze. Key word: cycle, Counter, Decoder, oscillator 一、概述 彩灯控制电路在人们的日常生活中随处可见,无论是在繁华的闹市区或是在大中型游乐场、圣诞树等等都有它们的身影,循环彩灯控制电路是今年来渐渐兴起的一种较为简单的电子设备装置,它可以按照人们的要求控制彩灯以不同的方式被点亮,还可以伴随音乐、各种奇奇怪怪的声音,色彩,变化无穷,为人们的生活增光添彩。 1、彩灯的控制方法和类型 彩灯一般可以用白炽灯、发光二极管以及拥有不同彩色的灯泡等。常见的彩灯控制方法有两种,一是通过微机编程实现,这种方法的优点是编程简单,变换的种类多,需要的外接电路也有限,它还方便因场地的转移或天气的变化而改变,但它适合于需要控制的彩灯数目较多且经常变换的场合。另一种是通过电子设备来实现,这种的方法的优点是制作和调试比较容易,成本相对而言也较低,电路的结构不是很复杂,

相关主题
文本预览
相关文档 最新文档