当前位置:文档之家› 4-20ma电流信号发生器

4-20ma电流信号发生器

4-20ma电流信号发生器
4-20ma电流信号发生器

二线制交流电流变送器的设计步骤

作者信继华

前言

根据广大网友的要求,特别是刚走出学校门的大学生们,在进行电路设计时,面对新的项目,无法下手,不知道具体的设计思路从何处怎样开展,到处求人提供资料,而大部分都

不能实用。

本人经常收到网友的求助,要求提供设计思路。但本人的答复仅对某个项目提出一点建议,而针对广大网友来讲,起不到启发作用!原因是,很多网友不希望本人公开答复,一是担心提出的问题太低级,招来某些“闲人”的热潮冷讽。二是存在人们固有的保守思想的影响,不想让别人知道他的“秘密项目”。用现在比较时髦的话来讲,称“保护知识产权”。

知识产权是有时效性的!过分强调保护知识产权,对于整个社会的发展是有害而无益的!

比如本人在网上转载多年前公开发行的专业书籍,就引来不少非议。而提出非议的并不是作者本人!我想,作者写书的目的并非纯粹为了经济利益吧?

在这里提醒大家一下,任何项目,从设计到实施完成,都是一个系统工程,并非是某一个专业能够独立完成的。它需要不同专业的密切配合,齐心协力,共同攻关,最终的成功必

定是一个集体智慧的结晶!

为了向大家提供一个具体的设计思路,这里将本人十年前设计的一个小项目的具体步骤公开出来,希望能够给大家今后进行设计项目时起到一点引导作用。同时也希望专家学者给

本人提出批评指导意见。

二线制交流电流变送器的设计步骤

已知大电流电流互感器均将不同的电流转换成0~5A的交流电流进行现场显示。而进行远距离传送时,必须将该电流转换成标准直流电流信号4~20mA,才能进行传送。

市场上此类交流电流变送器大都采用“四线制”的方法:即交流电源线二根,直流电流信号线二根。而我们设计的是“二线制交流电流变送器”则只采用二根电线:即在给变送器内的电路提供直流电源的同时,将根据0~5A交流电流变化的变送输出标准直流电流信号4~20mA远传至控制室显示或进入计算机内处理后在显示器画面上显示。

设计思路

1,选择低功耗元器件,在满足功能要求的前提下,尽量简化电路,满足二线制仪表的要求。

2,采取有效措施,提高系统的抗干扰能力,减小温度飘移。

3,完善系统保护措施,增加仪表的可靠性。

一,互感器的选择

电流互感器是一种交流电流/电流变换器,当初级流过交流电流时,次级线圈则对应其变比产生交流电流。再通过负载电阻转换成交流电压信号。

合理选择互感器的变比十分重要。

在选择变比之前,首先要确定通过互感器产生的负载电压是否满足变送电路需要的输入信号电压。通常我们将输入信号电压的最大值选择在2~3V/AC 左右。

同时选择互感器负载电阻为标准系列电阻。选RL=1KΩ。(见图一)

例如:输入信号电压选2.5V。

I=V/R=2.5V/1000Ω=0.0025A=2.5mA

已知:交流电流输入为0~5A,

则变比为: 5A/0.0025A=2000

即1:2000

所以,当电流互感器初级电流为0~5A变化时,次级负载电阻两端的电压为0~2.5V。

选择5A/2.5mA的互感器。

如果要求输入信号电压的最大值选择在3V时,只需要将负载电阻选择为RL=1.2KΩ即可。

V=I×R=0.0025A×1.2KΩ=3V

仍然选择5A/2.5mA的互感器。

二,整流电路的选择

如果输入的信号非常微弱时,需要首先对信号进行放大后再进行整流。为了简化电路,我们选择的输入信号电压幅度比较大,0~2.5V/AC。所以可以直接整流,而不必进行放大。

如果直接利用常用的晶体二极管整流,二极管的正向电压降会造成小电流时不能正常输出,从而造成在互感器输入≤1A电流时,变送器无法线性输出标准电流信号。原因是晶体二极管的正向电压降在0.5~0.7V 左右,当互感器输入电流≤1A时,次级负载电阻两端的电压为≤0.5V,此时晶体二极管无法导通!

我们利用运算放大器的反馈电路来实现理想二极管获得过零整流的特性,即微小信号的理想整流,从而获得高精度线性整流的特性。

同时,为了简化电路,降低变送器的功耗,而采用了半波精密整流电路。(见图二)

图中的R2,R3,D1与N1 运算放大器组成正输出的理想二极管整流电路。D1 串接于运算放大器N1 的输出端,并且从D1 的阴极开始进行反馈。R2 是串联的输入电阻Ri,R3 是反馈电阻Rf。既然不需要进行放大,所以选择R3=R2。通常选择通用运算放大器的输入阻抗为几十千欧姆,所以选择R2=R3=10KΩ~51KΩ均可,要求相对误差尽量小一点,否则输出的直流电压会产生误差。

对于输入的负半周信号来讲,N1 是一个典型的反相放大器。此时的增益为

A=(—Vi)×(—R3/R2)=Vi

而对于输入的正半周信号来讲,N1 的输出则变成负值

A=Vi×(—R3/R2)=—Vi

此时D1 被反相偏置而截止,输入信号V i 则通过R2,R3串联电路直接输出至后一级电路。二线制交流电流变送器的设计步骤(二)

低通滤波器电路的选择

为了保证直流信号的有效传送,彻底滤除掉工频信号以及各种杂波噪声对变送器的影响,选用低通滤波器来完成此项任务。同时为了取得平坦的滤波效果,采用2db/oct 巴特沃次(最平坦特性)滤波器电路。

而滤波电路的Q 值必须≥0.707才能获得最平坦特性。

Q=[√(C1/C2)]/2= ≥0.707

为了使Q=≥0.707左右,C1,C2必须建立的关系为

C1=4Q平方×C2=2C2

同时电路必须满足以下关系

Ro=R2=R3

Co=1/(2π×fL×Ro)

C1=1.4Co

C2=0.7Co

fL=1/(2π×Co×Ro)

式中Ro 输出等效电阻

Co 输出等效电容

fL 低通截止频率

2π2×3.14

根据以上公式,首先确定低通滤波器的截止频率。

为了有效的滤除工频信号(50Hz)和外界杂波干扰频率信号,保证直流有用信号的顺利传输,合理的选择低通滤波器的截止频率至关重要。

通常考虑截止频率为工频的1/10~1/20以下,这里选择≤2~5Hz。即只允许低于2~5Hz以下的交流及直流信号通过,高于2~5Hz以上的所有信号必须彻底隔断阻止通过。

根据公式fL=1/(2π×Co×Ro)

选择fL=(2~5)Hz

Ro=R2=R3=51KΩ

Co=1/(2π×fL×Ro)

=1/(6.28×(2~5)×51000)

=(0.624~1.56)μF

C1=1.4Co=(0.847~2.184) μF

C2=0.7Co=(0.437~1.092) μF

由于电容器的规格容量标称值有一定的限制,这里选择容量接近的标称容量的电容器进行配组:

第一组:C1=0.847μF 选1μF

C2=0.437μF 选0.47μF

第二组:C1=2.184μF 选2.2μF

C2=1.092μF 选1μF

验证:

第一组:Co=C1/1.4=0.714μF

Co=C2/0.7=0.671μF

第二组:Co=C1/1.4=1.571μF

Co=C2/0.7=1.429μF

根据Co=1/(2π×fL×Ro)

=1/(6.28×(2~5)×51000)

=(0.624~1.56)μF

在截止频率为2~5Hz范围内,Co=(0.624~1.56)μF 时,

第一组按最高截止频率计算,取Co=0.671μF

第二组按最低截止频率计算,取Co=1.571μF

验证:根据公式fL=1/(2π×Co×Ro)

第一组:fL=1/(2π×Co×Ro)

=1/(6.28×0.671×51000×10负6次方)

=4.653Hz

第二组:fL=1/(2π×Co×Ro)

=1/(6.28×1.571×51000×10负6次方)

=1.987Hz

基本满足设计要求的(2~5)Hz 范围。具体电路见(图三)。

再验证体通滤波器的Q值是否满足要求:

第一组:

Q=[√(C1/C2)]/2=[√(1/0.47)]/2=[√2.128]/2=0.7296

第二组:

Q=[√(C1/C2)]/2=[√(2.2/1)]/2=[√2.2]/2=0.7416

均≥0.707,可以认为成立。

二线制交流电流变送器的设计步骤(三)

二线制交流电流变送器的设计步骤(四)

四,基准电压产生与加法器电路的选择

为了保证在零信号输入时,使输出信号为标准电流信号的零位基准4mA,必须在加法器电路的输入端提供系统输出电流信号的零点调节电压。同时要求该电压必须稳定可靠,避免温度影响而引起的漂移现象。

基准电压电路采用TL431组成2.5V的基准电压。

为了减小温度漂移现象,采用LM334组成恒流源给TL431提供偏置电流。

考虑二线制仪表的静态电流要求,4mA的零点电流中,全部电路的静态电流考虑留出1mA左右的零点调节范围,全部电路的静态电流不能超过3mA。由于运算放大器准备选用LM124四运放,LM124的静态电流约为1.7mA左右。所以,选择基准电压电路TL431的偏置电流为1.3mA左右。

根据公式Rset=(67.7×10负3次方)/I

=(67.7×10负3次方)/(1.3×10负3次方)

=52Ω

选标称电阻值51Ω。

验证:I=(67.7×10负3次方)/ Rset

=(67.7×10负3次方)/ 51Ω

=0.00133A=1.33 mA

基本满足设计要求。

基准电压产生与加法器电路见(图四)。

加法器电路是一个典型的反相加法放大器,输出电压Eo可以有以下公式表示:

Eo=-[V i1(RF/Rf1)+V i2(RF/Ri2)]

式中Eo 输出电压

V i1 前级来的信号电压(+0~2.5V)

V i2 系统零点基准调节电压(+0~2.5V)

RF 加法器反馈电阻(3KΩ)

Rf1 前级信号输入电阻(3KΩ+0~10KΩ)

Rf2 基准调节电压信号的输入电阻(51KΩ)

由于后一级电路要求,反相加法放大器是一个衰减式的加法电路。所以

Eo=-[V i1(RF/Rf1)+V i2(RF/Ri2)]

=-[V i1(0.23~1)+Vi2(0.0588)]

=-[0~2.5(0.23~1)+0~2.5(0.0588)]

=-[0~(0.575~2.5)+(0~0.147)] (V)

在试验应用调试时,当没有交流电流输入时,调整系统零点调节电位器(0~100KΩ)使输出电压为-0.042V;此时零点电位器的调节输出电压在0.7V左右。当输入交流电流为5A 时,调整输入电位器(也称量程调整电位器)使输出电压为-0.867V即可。

当然这里只是引导大家分步骤分析了解电路的设计步骤时所采用的方法。而在系统实际应用调试时,则利用监测输出的标准电流信号来调节零点和量程电位器。

运算放大器的反馈电阻两端并联的电容器构成简单的一阶低通滤波器,进一步限制抵消干扰噪波信号。这里就不啰嗦太多了。

二线制交流电流变送器的设计步骤(五)

五,电压/电流转换电路的选择

由运算放大器组成恒流输出电路,晶体三极管进行扩流,晶体管发射极的电阻组成电流敏感电路,在电阻两端产生反馈电压。由于该级的增益确定为1,所以,RF=Rf=10KΩ。此时电阻两端的电压严格跟踪输入电压,只是极性相反,即Vro=-Vi 。

已知前级输出电压为-0.042V~-0.867V ,所以要求流过51Ω电阻的电流为

Io=V/R=(0.042~0.867)/51=0.82~17mA 。

加上系统电路元件的静态电流3 mA ,系统电流为

0.82~17+3=3.82~20mA

适当调整零点和量程电位器,使得系统电流在输入0~5A/AC 时,输出电流为4~20mA /DC 即可。

由于本电路的负载是长电缆,为了避免电路产生振荡,在运放的反馈端(即反相输入端与输出端之间)增加了防止寄生振荡的电容器(0.1μF)。

具体电路见(图五)。

六,辅助电源的选择

为了满足运算放大器工作时处理负电压信号,必须给系统提供相应的正,负工作电压。所以在系统共用端与电源负极回路串联一只发光二极管,利用发光二极管工作是产生的电压降作为运算放大器的负电源。同时将运算放大器的负电源端与发光二极管的阴极接通,从而提高了系统共用端的电位,相映对于系统共用端来讲,运算放大器得到了正,负工作电源。

发光二极管的正向压降:红色1.5V 左右;绿色1.7V 左右;黄色1.9V 左右。

同时,发光二极管兼任变送器的工作状态指示灯。

七,电源极性保护电路

在系统回路中串联一只二极管就构成了电源极性保护电路。

当电源极性正确是,发光二极管发光,证明电源极性正确;而电源极性接反时,二极管截止,没有电流通过变送器系统,发光二极管则不会发光。

同时,变送器正常工作时,还可以根据发光二极管的亮度变化情况,判断工作电流的大小。

二线制交流电流变送器的设计步骤(七)

元件装配示意图:

装配完成图:

外形图:

试验报告

二线制交流电流变送器说明书概述

二线制交流电流变送器是一种能将被测交流电流按线性比例输出直流标准电流的新型仪表。配以相应的指示仪表或直接输送到DCS系统,实现交流电流的测量和控制。

主要特点:

1,二线制仪表现场不需要工作电源,利用指示仪表或DCS系统提供电源。2,输入与输出完全隔离。

3,输出信号采用极性保护措施,极性正确时,指示灯亮,仪表工作;极性错误时,指示灯灭,仪表不工作。

4,仪表本身无发热源,彻底解决了高温环境下普通变送器因内部发热而引发输出信号丢失的普遍现象。

主要技术参数

输入信号:0~5A AC

输出信号:4~20mA DC (二线制传输)

输出负载电阻:0~500Ω

精度:0.5%

温度系数: ≤150ppm/℃

工作温度: -10℃~+70℃

隔离:输入与输出隔离

绝缘电阻:>200MΩ(500 V DC)

绝缘强度:>1000V/1分钟

供电电源:15~36V DC

15V RL=0~250Ω

24V RL=0~500Ω(标准配置)

30V RL=0~750Ω

36V RL=0~1KΩ

应用接线:

IN 0~5A AC OUT 4~20

RL

①:零点调节24V DC

②:满度调节

外形尺寸(mm):

100×25×45mm

结束语

4-20ma信号发生器电路

4-20ma信号发生器电路 制作要求:以精度0.5级为例,二线制4~20mA模拟恒环路信号发生器执行标准:GB/T13850-1998; (1)基准要稳,4mA是对应的输入零位基准,基准不稳,谈何精度线性度,冷开机3分锺内4mA的零位漂移变化不超过4.000mA0.5%以内;(即3.98-4.02mA),负载250Ω上的压降为0.995-1.005V,国外IC心片多用昂贵的能隙基准,温漂系数每度变化10ppm; (2)内电路总计消耗电流<4mA,加整定后等于4.000mA,而且有源整流滤波放大恒流电路不因原边输入变化而消耗电流也随之变化,国外IC心片采用恒流供电; (3)当工作电压24.000V时,满量程20.000mA时,满量程20.000mA的读数不会因负载0-700Ω变化而变化;变化不超过20.000mA0.5%以内; (4)当满量程20.000mA时,负载250Ω时,满量程20.000mA的读数不会因工作电压15.000V-30.000V变化而变化;变化不超过20.000mA0.5%以内;

(5)当原边过载时,输出电流不超过25.000mA+10%以内,否则PLC/DCS内供变送器用的24V工作电源和A/D输入箝位电路因功耗过大而损坏,另外变送器内的射随输出亦因功耗过大而损坏,无A/D输入箝位电路的更遭殃; (6)当工作电压24V接反时不得损坏变送器,必须有极性保护; (7)当两线之间因感应雷及感应浪涌电压超过24V时要箝位,不得损坏变送器;一般在两线之间并联1-2只TVS瞬态保护二极管 1.5KE可抑制每20秒间隔一次的20毫秒脉宽的正反脉冲的冲击,瞬态承受冲击功率1.5KW-3KW; (8)产品标示的线性度0.5%是绝对误差还是相对误差,可以按以下方法来辨别 方可一目了然:符合下述指标是真的线性度0.5%. 原边输入为零时输出4mA正负0.5%(3.98-4.02mA),负载250Ω上的压降为 0.995-1.005V 原边输入10%时输出5.6mA正负0.5%(5.572-5.628mA)负载250欧姆上的压降为1.393-1.407V 原边输入25%时输出8mA正负0.5%(7.96-8.04mA)负载250Ω上的压降为 1.990- 2.010V 原边输入50%时输出12mA正负0.5%(11.94-12.06mA)负载250Ω上的压降为2.985-3.015V 原边输入75%时输出16mA正负0.5%(15.92-16.08mA)负载250Ω上的压降为3.980-4.020V 原边输入100%时输出20mA正负0.5%(19.90-20.10mA)负载250Ω上的压降为4.975-5.025V (9)原边输入过载时必须限流:原边输入过载大于125%时输出过流限制25mA +10%(25.00-27.50mA)负载250Ω上的压降为6.250-6.875V; (10)感应浪涌电压超过24V时有无箝位的辨别:在两线输出端口并一个交流50V 指针式表头,用交流30-35V接两根线去瞬间碰一下两线输出端口,看有无箝位,箝位多少伏可一目了然啦; (11)有无极性保护的辨别:用指针式万用表Ω乘10K档正反测量两线输出端口,总有一次Ω阻值无限大,就有极性保护; (12)有无极输出电流长时间短路保护:原边输入100%时或过载大于125%-200%时,将负载250Ω短路,测量短路保护限制是否在25mA+10%; (13)工业级别和民用商用级别的辨别:工业级别工作温度范围是-25度到+70度,温漂系数是每度变化100ppm,即温度每度变化1度,精度变化为万分之一;

简易函数信号发生器

课程设计任务书 (一)设计目的 1、掌握信号发生器的设计方法和测试技术。 2、了解单片函数发生器IC8038的工作原理和应用。 3、学会安装和调试分立元件与集成电路组成的多级电子电路小系统。 (二)设计技术指标与要求 1、设计要求 (1)电路能输出正弦波、方波和三角波等三种波形; (2)输出信号的频率要求可调; (3)拟定测试方案和设计步骤; (4)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (5)在面包板上或万能板或PCB板上安装电路; (6)测量输出信号的幅度和频率; (7)撰写设计报告。 2、技术指标 频率范围:100Hz~1KHz 1KHz~10KHz; 输出电压:方波V P-P≤24V,三角波V P-P=6V,正弦波V P-P=1V;方波t r小于1uS。 (三)设计提示 1、方案提示: (1)设计方案可先产生正弦波,然后通过整形电路将正弦波变成方波,再由积分电路将方波变成三角波;也可先产生三角波-方波,再将三角波变成正弦波。 (2)也可用单片集成芯片IC8038实现,采用这种方案时要求幅度可调。 2、设计用仪器设备: 示波器,交流毫伏表,数字万用表,低频信号发生器,实验面包板或万能板,智能电工实验台。 3、设计用主要器件: (1)双运放NE5532(或747)1只(或741 2只)、差分管3DG100 4个、电阻电容若干; (2)IC8038、数字电位器、电阻电容若干。 4、参考书: 《电子线路设计·实验·测试》谢自美主编华中科技大学出版社 《模拟电子技术基础》康华光主编高等教育出版社 《模拟电子技术》胡宴如主编高等教育出版社 (四)设计报告要求 1、选定设计方案; 2、拟出设计步骤,画出设计电路,分析并计算主要元件参数值; 3、列出测试数据表格; 4、调试总结,并写出设计报告。 (五)设计总结与思考 1、总结信号发生器的设计和测试方法;

单片机直流4~20mA电流信号发生器

辽宁工业大学 单片机及接口技术课程设计(论文)题目:直流4~20mA电流信号发生器 院(系):电子与信息工程学院 专业班级:通信091班 学号: 090405031 学生姓名:张晓妲 指导教师:李宁 教师职称:讲师 起止时间:2012.07.05—2012.07.13

课程设计(论文)任务及评语

目录 第1章设计方案论证 (1) 1.1设计的应用意义 (1) 1.2 设计方案选择 (1) 1.3总体设计方案框图及分析 (2) 第2章硬件电路设计 (3) 2.1电源电路设计 (3) 2.2时钟复位电路设计 (3) 2.3 8051单片机设计与D/A接口电路设计 (4) 2.4 偏移电路设计 (5) 2.5 放大电路电路设计 (5) 2.6按键、显示电路设计 (6) 第3章程序设计 (8) 3.1程序流程图 (8) 3.2源程序清单 (8) 第4章设计总结 (11) 参考文献 (12) 附录1 (13) 附录2 (14)

第1章设计方案论证 1.1设计的应用意义 信号发生器在科技领域和生产实践中有着非常广泛的应用。单片机的信号发生器抗干扰性强、功耗低、成本低、易实现,具有很高的使用价值。随着大规模集成电路的迅速发展,多功能信号发生器已被制作成专用集成电路。发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机测试时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器,因而广泛应于通信、雷达、导航、宇航等领域。 在MCS-51单片机的应用过程中,经常需要设计可调直流电流信号。而在单片机控制应用中对实时性和计算精度的要求非常高,因此,非常有必要研究有效的可调方法。 1.2设计方案选择 信号发生器的设计任务就是产生三路信号,并且提供和主机通讯的软 硬件接口。首先根据输出信号的频率和幅值进行编码,存储在单片机的ROM里,然后以一定的时间间隔依次将这些数字量送往 D/A进行转换输出,这样,只要循环不已的送数,在D/A的双极性输出端就可以得到信号波形。信号的输出时序受上位机控制。 设计直流可调电流信号发生器。采用CPU系统,定时/计数器电路,按键显示电路来显示其数值。课程设计的主要任务是设计直流电流信号在4-20mA之间可调,分辨率为1/250。按键可显示输出电流,利用可调电源来控制其输出电流。信号发生器电源采用AC220V。

几种简单的函数信号发生器电路图分析

几种简单的函数信号发生器电路图分析 时间:2012-01-10 15:30 作者:赛微编辑来源:赛微电子网 引言 随着模拟电路技术和电力电子技术发展,电路设计中对信号的精度、稳定性、抗干扰能力等要求进一步提高,电子行业中将一些功能进行集成到IC芯片供其他的厂家来使用。在电路设计中,我们除了正常的电源输入之外,还需要提供三角波、方波、正弦波、脉冲波、单次脉冲等特殊的波形来给某个电路提供输入。 这种可以提供三角波、方波、正弦波、脉冲波、单次脉冲等特殊的波形的电路或者仪器(函数信号发生器的种类),我们可以称之为函数信号发生器,它对电子工程师设计的整个系统来说,发挥着重要的作用,它具有各种内置信号、自定义的任意波形和脉冲能力,能帮助您验证设计,检验新的构想,从而让整个设计更具有可靠性。 本文结合几种简单的函数信号发生器电路图,并对其工作原理(函数信号发生器原理)、可以实现的功能和性能、电路特点等方面做了详细的分析,供电子发烧友参考。 程控函数信号发生器电路图 它主要由主控制器LPC2114、MAX038、D/A转换器以及八选一模拟开关CD4051LED显示、键盘、波段切换,波形处理和峰值检波等部分组成,研究了LPC2114通过D/A转换器实现对MAX038频就绪和占空比的调控方法,并给出

了在0.1Hz~20MHz内产生精确的正弦波、方波和三角波的方法。此外,它还具有可调范围大、精度高、信号稳定等特点,可以应用于各种电子测量和控制场合。 LPC2114主要通过D/A转换器TLC5618、DAC0832和八选一模拟开关CD4051对MAX038输出的波形、频率以及占空比进行控制。通过对A1和A0端的不同设置来选择不同的波形。当A1为高电平、A0为任意时,输出波形为正弦波;当A1、A0同时为低电平时,输出波形为方波;当A1为低电平、A0为高电平时,输出波形为三角波。 MAX038输出波形的幅值为2 V(P-P),最大输出电流为+20 mA,输出阻抗的典型值为0.1 Ω。可直接驱动100 Ω的负载。为了得到更大的输出幅度和驱动能力,就需要对波形信号作进一步处理,下图为一个波形输出与驱动电路。

信号发生器电路的焊接与调试-电路图

一、信号发生器电路安装与调试考核评分表 准考证号姓名规定时间分钟 开始时间结束时间实用时间得分 考核内容及要求配分评分标准扣分 1 元器件清点检查:在10分钟内对所有元 器件进行检测,并将不合格元器件筛选出来进 行更换,缺少的要求补发。 10 超时更换或要求补发按损坏 元件扣分,扣3分/个。 2 安装电路:按装配图进行装接,要求不装 错,不损坏元器件,无虚焊,漏焊和搭锡,元 器件排列整齐并符合工艺要求。 30 漏装,错装或虚焊、漏焊、 搭锡,扣2分/个,安装不整 齐和不符合工艺要求的扣1 分/处,损坏元件扣3分/个。 3 电源电路:接通交流电源,测量交流电压 和各直流电压+12V、-12V、V CC 、-5V。 信号发生器电路:接通+12V、-12V、V CC 、 -5V电源。测量函数信号波形:方波、正弦波、 三角波形。 20 电压测试方法不正确扣10 分,测量值有误差扣5分。 4 选择C=10uf,调节RW13、RW14、RW15, 记录方波的占空比: 1、 2、 3、 10 不会用示波观察输出信号波 形扣10分, 调节不正确扣5分, 波形记录不正确扣5分。 5 改变电容:100nf——100uf,并调节RW11, 记录正弦波输出频率f: 1、 2、 3、 10 最大不失真电压测试方法不 正确扣5分,测量值不准确 扣5分,不会计算最大不失 真功率扣5分。 6 调节RW21、RW22, 记录正弦波输出Vpp: 1、 2、 3、 10 不会测试功放电路的灵敏度 扣5分,不会计算电压放大 倍数扣5分。 7 调节电位器RW16、RW17, 记录正弦波形的失真: 1、 2、 3、 10 测量方法不正确扣5分, 测量数据每处2分,不会绘 制频响曲线扣5分 开始时间:结束时间:实用时间:

DDS信号发生器电路设计

1. 信号产生部分 1.1 频率控制字输入模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity ddsinput is port(a,b,c,clk,clr:in std_logic; q1,q2,q3,q4,q5:buffer unsigned(3 downto 0)); end ddsinput; architecture a of ddsinput is signal q:std_logic_vector(2 downto 0); begin q<=c&b&a; process(cp,q,clr) begin if clr='1'then q1<="0000";q2<="0000";q3<="0000";q4<="0000";q5<="0000"; elsif clk 'event and clk='1'then

DDS信号信号发生器电路设计 case q is when"001"=>q1<=q1+1; when"010"=>q2<=q2+1; when"011"=>q3<=q3+1; when"100"=>q4<=q4+1; when"101"=>q5<=q5+1; when others=>NULL; end case; end if; end process; end a; 1.2 相位累加器模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity xiangwei is port(m:in std_logic_vector(19 downto 0); clk,clr:in std_logic; data:out std_logic_vector(23 downto 0)); end xiangwei; architecture a of xiangwei is signal q:std_logic_vector(23 downto 0); begin process(clr,clk,m,q) begin if clr='1'then q<="000000000000000000000000"; elsif (clk'event and clk='1')then q<=q+m; end if; data<=q; end process; end a;

函数信号发生器的设计与制作

函数信号发生器的设计、和装配实习 一.设计制作要求: 掌握方波一三角波一正弦波函数发生器的设计方法和测试技术。学会由分立器件和集成电路组成的多级电子电路小系统的布线方法。掌握安装、焊接和调试电路的技能。掌握在装配过程中可能发生的故障进行维修的基本方法。 二.方波一三角波一正弦波函数发生器设计要求 函数发生器能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形。其电路中使用的器件可以是分立器件,也可以是集成电路(如单片集成电路函数发生器ICL8038)。本次电子工艺实习,主要介绍由集成运算放大器和晶体管差分放大器组成的方波一三角波一正弦波函数信号发生器的设计和制作方法。 产生正弦波、方波、三角波的方案有多 种: 1:如先产生正弦波,然后通过整 形电路将正弦波变换成方波,再由积分 电路将方波变成三角波。 2:先产生三角波一方波,再将三 角波变成正弦波或将方波变成正弦波。 3 3:本次电路设计,则采用的图1函数发生器组成框图 是先产生方波一三角波,再将三角波变换成正弦波的电路设计方法。此钟方法的电路组成框图。如图1所示:可见,它主要由:电压比较器、积分器和差分放大器等三部分构成。 为了使大家能较快地进入设计和制做状态,节省时间,在此,重新复习电压比较器、积分器和差分放大器的基本构成和工作原理: ,并判所谓比较器,是一种用来比较输入信号v1和参考电压V REF 断出其中哪个大,在输出端显示出比较结果的电路。 在《电子技术基础》一书的9.4—非正弦波信号产生电路的9.4.1中,专门讲述了: A:单门限电压比较器、B:过零比较器 C:迟滞比较器的电路结构和工作原理。 一、单门限电压比较器 所谓单门限电压比较器,是指比较器的输入端只有一个门限电压。

8038信号发生器

用8038制作多波形信号发生器 信号发生器在电子产品研发过程中使用广泛,但对于电子爱好者来说,个人购买一台信号发生器来使用又显得不太合适,本文提供一个可产生多种波形的信号发生器电路,有兴趣的电子爱好者可以自制一个,作为信号发生器来使用。电路原理图如下图所示。 图中的8038 为函数发生器专用IC,它具有3 种波形输出,分别正弦波、方波和三角波,8038的第10脚外接定时电容,该电容的容值决定了输出波形的频率,电路中的定时电容从C1至C8决定了信号频率的十个倍频程,从500μF开始,依次减小十倍,直到5500pF,频率范围相应地从0.05Hz~0.5 Hz~5Hz~50Hz~500Hz~5kHz~50kHz~500kHz,如果C8取250pF,频率可达1MHz。图中的V1、R7、R8构成缓冲放大器,R9 为电位器,用于改变输出波形的幅值。 整个电路的频率范围为0.05Hz~1MHz,占空比可以从2%至98%调整,失真不大于1%,线性好,误差不大于0.1%,因此电路很有实用价值。 函数信号发生器的设计与制作 系别:电子工程系专业:应用电子技术届:07届姓名:李贤春 摘要 本系统以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从0.001Hz~30KHz的低失真正弦波、三角波、矩形波等脉冲信号。输出波形的频率和占空比还可以由电流或电阻控制。另外由于该芯片具有调制信号输入端,所以可以用来对低频信号进行频率调制。 关键词ICL8038,波形,原理图,常用接法 一、概述

4到20mA模拟恒流环路信号发生器制作

4到20mA模拟恒流环路信号发生器制作 一。DH4-20的原理与测试: ①静态零电平4mA调节范围 测试条件:VCC=24V UIN=0V(静态) 步骤:改变RP1使UIN=0V,改变RP2观察IOUT的最大值和最小值。 IOUT实测值(mA) RP2↓最小值3mA±0.3mA 2.790 RP2↑最大值5mA±0.3mA 4.838 ②UIN-IOUT线性测试

测试条件:VCC=24V RL=700Ω 步骤:1.将RP1调至UIN=0V 2将RP2调至IOUT=4.000mA 3.改变RP1从0~5V的UIN电位 UIN(V)IOUT(mA)实测值(mA) 0 4.000 4.002 1.2508.0007.997 2.50012.000 11.998 3.75016.000 16.003 5.000 20.000 20.008 ③RL-VCC最大负载电阻与工作电压之间的关系 测试条件:在上述②的测试条件UIN=5V,IOUT=20mA恒定状态下步骤:改变以下RL与VCC的对应关系,观察IOUT=20mA恒定状态RL(KΩ)VCC(V)实测值(mA) 0 1020.003 0.11220.003 0.21420.005 0.31620.005 0.41820.005 0.52020.005 0.62220.005 0.72420.005 0.82620.010 0.92820.010

1.03020.010 1.13220.010 ④满值20mA的恒流测试 测试条件:VCC=24V IOUT=20.000mA 步骤:在上述条件下,改变RL从0~0.7KΩ,观察满值20的恒定状态RL(KΩ)IOUT实测值(mA) 0 20.021 0.120.020 0.220.019 0.320.017 0.420.016 0.520.015 0.620.014 0.720.013 二。二线制4~20mA模拟恒流环路信号发生器制作

(完整版)数字信号发生器的电路设计_(毕业课程设计)

1 引言 信号发生器又称信号源或者振荡器,它是根据用户对其波形的命令来产生信号的电子仪器,在生产实践和科技领域有着广泛的应用。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其他仪表测量感兴趣的参数。信号发生器在通信、广播、电视系统,在工业、农业、生物医学领域内,在实验室和设备检测中具有十分广泛的用途。 信号发生器是一种悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。到70年代处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。随着现代电子、计算机和信号处理等技术的发展,极大地促进了数字化技术在电子测量仪器中的应用,使原有的模拟信号处理逐步被数字信号处理所代替,从而扩充了仪器信号的处理能力,提高了信号测量的准确度、精度和变换速度,克服了模拟信号处理的诸多缺点,数字信号发生器随之发展起来。

信号发生器作为电子领域不可缺少的测量工具,它必然将向更高性能,更高精确度,更高智能化方向发展,就象现在在数字化信号发生器的崛起一样。但作为一种仪器,我们必然要考虑其所用领域,也就是说要因地制宜,综合考虑性价比,用低成本制作的集成芯片信号发生器短期内还不会被完全取代,还会比较广泛的用于理论实验以及精确度要求不是太高的实验。因此完整的函数信号发生器的设计具有非常重要的实践意义和广阔的应用前景。 2 数字信号发生器的系统总述 2.1 系统简介 信号发生器广泛应用于电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域。 本设计以AT89C52[1]单片机为核心设计了一个低频函数信号发生器。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统主要包括CPU模块、显示模块、键盘输入模块、数模转换模块、波形输出模块。系统电路原理图见附录A,PCB (印制电路板)图见附录B。其中CPU模块负责控制信号的产生、变化及频率的改变;模数转换模块采用DAC0832实现不同波形的输出;显示模块采用1602液晶显示,实现波型和频率显示;键盘输入模块实

函数信号发生器的设计与实现

实验1 函数信号发生器的设计与实现 姓名:_ _____ 学号: 班内序号:____ 课题名称:函数信号发生器的设计 摘要:采用运算放大器组成的积分电路产生比较理想的方波-三角波,根 据所需振荡频率和对方波前后沿陡度、方波和三角波幅度的要求,选择运放、稳压管、限流电阻和电容。三角波-正弦波转换电路利用差分放大器传输特性曲线的非线性实现,选取合适的滑动变阻器来调节三角波的幅度和电路的对称性,同时利用隔直电容、滤波电容来改善输出正弦波的波形。 关键词:方波三角波正弦波 一、设计任务要求 1.基本要求:

设计制作一个函数信号发生器电路,该电路能够输出频率可调的正弦波、三角波和方波信号。 (1) 输出频率能在1-10KHz范围内连续可调,无明显失真。 (2) 方波输出电压Uopp=12V(误差小于20%),上升、下降沿小于10us。 (3) 三角波Uopp=8V(误差小于20%)。 (4) 正弦波Uopp1V,无明显失真。 2.提高要求: (1) 输出方波占空比可调范围30%-70%。 (2) 自拟(三种输出波形的峰峰值Uopp均可在1V-10V内连续可调)。 二、设计思路和总体结构框图 总体结构框图: 设计思路: 由运放构成的比较器和反相积分器组成方波-三角波发生电路,三角波输入差分放大电路,利用其传输特性曲线的非线性实现三角波-正弦波的转换,从而电路可在三个输出端分别输出方波、三角波和正弦波,达到信号发生器实验的基本要求。 将输出端与地之间接入大阻值电位器,电位器的抽头处作为新的输出端,实现输出信号幅度的连续调节。利用二极管的单向导通性,将方波-三角波中间的电阻改为两个反向二极管一端相连,另一端接入电位器,抽头处输出的结构,实现占空比连续可调,达到信号发生器实验的提高要求。 三、分块电路和总体电路的设计过程 1.方波-三角波产生电路 电路图:

信号发生器的基本原理

信号发生器的基本原理- 信号发生器使用攻略 信号发生器的基本原理 现代信号发生器的结构非常复杂,与早期的简易信号发生器天差地别,但总体基本结构功能单元还是类似的。信号发生器的主要部件有频率产生单元、调制单元、缓冲放大单元、衰减输出单元、显示单元、控制单元。早期的信号发生器都采用模拟电路,现代信号发生器越来越多地使用数字电路或单片机控制,内部电路结构上有了很大的变化。 频率产生单元是信号发生器的基础和核心。早期的高频信号发生器采用模拟电路LC振荡器,低频信号发生器则较多采用文氏电桥振荡器和RC移相振荡器。由于早期没有频率合成技术,所以上述LC、RC振荡器优点是结构简单,可以产生连续变化的频率,缺点是频率 稳定度不够高。早期产品为了提高信号发生器频率稳定度,在可变电容的精密调节方面下了很多功夫,不少产品都设计了精密的传动机构和指示机构,所以很多早期的高级信号发生器体积大、重量重。后来,人们发现采用石英晶体构成振荡电路,产生的频率稳定,但是石英晶体的频率是固定的,在没有频率合成的技术条件下,只能做成固定频率信号发生器。之后 也出现过压控振荡器,虽然频率稳定度比LC振荡器好些,但依然不够理想,不过压控振荡 器摆脱了LC振荡器的机械结构,可以大大缩减仪器的体积,同时电路不太复杂,成本也不高。现在一些低端的函数信号发生器依然采用这种方式。 随着PLL锁相环频率合成器电路的兴起,高档信号发生器纷纷采用频率合成技术,其 优点是频率输出稳定(频率合成器的参考基准频率由石英晶体产生),频率可以步进调节,频率显示机构可以用数字化显示或者直接设置。早期的高精度信号发生器为了得到较小的频率步进,将锁相环做得非常复杂,成本很高,体积和重量都很大。目前的中高端信号发生器 采用了更先进的DDS频率直接合成技术,具有频率输出稳定度高、频率合成范围宽、信号频谱纯净度高等优点。由于DDS芯片高度集成化,所以信号发生器的体积很小。 信号发生器的工作频率范围、频率稳定度、频率设置精度、相位噪声、信号频谱纯度都与频率产生单元有关,也是信号发生器性能的重要指标。 信号发生器的一大特性就是可以操控仪器输出信号的幅度,信号通过特定组合衰减量的衰减器达到预定的输出幅度。早期的衰减器是机械式的,通过刻度来读取衰减量或输出幅度。现代中高档信号发生器的衰减器单元由单片机控制继电器来切换,向电子芯片化过渡,衰减单元的衰减步进量不断缩小,精度相应提高。大频率范围的高精度衰减器和高精度信号输出属于高科技技术,这也是国内很少有企业能制造高端信号发生器的原因之一。信号发生器的信号输出范围和输出电平的精度和准确度也是标志信号发生器性能的重要指标。

信号发生器的原理及应用

实验一信号发生器的原理及应用 一、实验目的 (1)熟悉直接数字合成双路函数信号发生器的工作原理以及面板装置及功能; (2)会运用UTG2025A型数字信号合成信号发生器产生标准信号和调制信号。 二、实验设备 (1)UTG2025A型函数/任意波形信号发生器1台; (2)UTD2102C数字存储示波器各1台。 三、实验原理 函数信号发生器是能产生多种特定时间函数波形(如正弦波、方波、三角波 等)供测试用的信号发生器。典型函数信号发生器由输入单元、内/外转换电路、 波形产生电路、频段转换器、扫频电路、占空比和频率调节电路、微处理器、A/D 转换器、直流功率放大器和计数显示器等组成,其电路原理方框图如下所示: 图1典型函数信号发生器电路原理框图 其中波形产生电路、频率调整电路、占空比调整电路、内外扫频控制电路、测频 单元电路等具体电路原理与分析见教材《电子测量技术》P67-P71页内容。 四、实验内容及步骤 4.1 产生标准信号 4.1.1 产生正弦波信号

实验内容:产生一个20MHz、峰峰值100mV、直流偏置-150mV的正弦波信号。 1 实验步骤: (1)确保仪器正确连接后,打开开关,等仪器自检回到主菜 单;(2)按【menu】→【波形】→【正弦波】,如下图所示: (3)按【menu】→【波形】→【参数】 选择【频率】、【幅度】、【直流偏移】、【相位】不同功能按钮进行设 置:可以用三种方法来输入频率值:(其他数字量输入类似) ①通过按方向键来移动选择光标,再通过多功能按钮来增加、减少频率值; ②通过多功能按钮选中再逆时针、顺时针旋转来增加、减少频率值; ③通过数字键盘输入:进入频率设置状态后,当您按下数字键盘任意一个按键后,屏幕弹出输入窗口,如下图所示: 键入数字后再分别选择不同单位。

正弦波函数信号发生器

电子技术课程设计报告 电子技术课程设计报告——正弦波函数信号发生器的设计 作品40% 报告 20% 答辩 20% 平时 20% 总分 100% 设计题目:班级:班级学号:学生姓名:

目录 一、预备知识 (1) 二、课程设计题目:正弦波函数信号发生器 (2) 三、课程设计目的及基本要求 (2) 四、设计内容提要及说明 (3) 4.1设计内容 (3) 4.2设计说明 (3) 五、原理图及原理 (8) 5.1功能模块电路原理图 (9) 5.2模块工作原理说明 (10) 六、课程设计中涉及的实验仪器和工具 (12) 七、课程设计心得体会 (12) 八、参考文献 (12)

一、预备知识 函数发生器是一种在科研和生产中经常用到的基本波形生产期,现在多功能的信号发生器已经被制作成专用的集成电路,在国内生产的8038单片函数波形发生器,可以产生高精度的正弦波、方波、矩形波、锯齿波等多种信号波,这中产品和国外的lcl8038功能相同。产品的各种信号频率可以通过调节外接电阻和电容的参数进行调节,快速而准确地实现函数信号发生器提供了极大的方便。发生器是可用于测试或检修各种电子仪器设备中的低频放大器的频率特性、增益、通频带,也可用作高频信号发生器的外调制信号源。顾名思义肯定可以产生函数信号源,如一定频率的正弦波,有的可以电压输出也有的可以功率输出。下面我们用简单的例子,来说明函数信号发生器原理。 (a) 信号发生器系统主要由下面几个部分组成:主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器(输出变压器)和指示电压表。 (b) 工作模式:当输入端输入小信号正弦波时,该信号分两路传输,其一路径回路,完成整流倍压功能,提供工作电源;另一路径电容耦合,进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出。输出端为可调电阻。 (c) 工作流程:首先主振级产生低频正弦振荡信号,信号则需要经过电压放大器放大,放大的倍数必须达到电压输出幅度的要求,最后通过输出衰减器来直接输出信号器实际可以输出的电压,输出电压的大小则可以用主振输出调节电位器来进行具体的调节。 它一般由一片单片机进行管理,主要是为了实现下面的几种功能: (a) 控制函数发生器产生的频率; (b) 控制输出信号的波形; (c) 测量输出的频率或测量外部输入的频率并显示; (d) 测量输出信号的幅度并显示; (e) 控制输出单次脉冲。 查找其他资料知:在正弦波发生器中比较器与积分器组成正反馈闭环电路,方波、三角波同时输出。电位器与要事先调整到设定值,否则电路可能会不起振。只要接线正确,接通电源后便可输出方波、三角波。微调Rp1,使三角波的输出幅度满足设计要求,调节Rp2,则输出频率在对应波段内连续可变。 调整电位器及电阻,可以使传输特性曲线对称。调节电位器使三角波的输出幅度经R输出等于U值,这时输出波形应接近正弦波,调节电位器的大小可改善波形。 因为运放输出级由PNP型与NPN型两种晶体管组成复合互补对称电路,输

(Proteus数电仿真)序列信号发生器电路设计

实验8 序列信号发生器电路设计 一、实验目的: 1.熟悉序列信号发生器的工作原理。 2.学会序列信号发生器的设计方法。 3.熟悉掌握EDA软件工具Proteus 的设计仿真测试应用。 二、实验仪器设备: 仿真计算机及软件Proteus 。 74LS161、74LS194、74LS151 三、实验原理: 1、反馈移位型序列信号发生器 反馈移位型序列信号发生器的结构框图如右图 所示,它由移位寄存器和组合反馈网络组成, 从寄存器的某一输出端可以得到周期性的序列 码。设计按一下步骤进行: (1)确定位移寄存器位数n ,并确定移位 寄存器的M 个独立状态。 CP 将给定的序列码按照移位规律每 n 位一组,划分为M 个状态。 若M 个状态中出现重复现象,则应增加移位寄存器的位数。用n+1位再重复上述过程,直到划分为M 个独立状态为止。 (2)根据M 各不同状态列出寄存器的态序表和反馈函数表,求出反馈函数F 的表达式。 (3)检查自启动性能。 (4)画逻辑图。 2、计数型序列信号发生器 计数型序列信号发生器和组合的结构框图 如图 所示。它由计数器和组合输出网络两部分 组成,序列码从组合输出网络输出。设计 过程分为以下两步: (1)根据序列码的长度M 设计模M (2)按计数器的状态转移关系和序列码的要求组合输出网络。由于计数器的状态设置和输出序列没有直接关系,因此这种结构对于输出序列的更改比较方便,而且还能产生多组序列码。 四、计算机仿真实验内容及步骤、结果: 1、设计一个产生100111序列的反馈移位型序列信号发生器。 1、根据电路图在protuse 中搭建电路图

低频信号发生器电路图制作以及调试

低频信号发生器电路图制作以及调试 1 画原理图 本设计中要求用Protel软件完成原理图以及PCB板。我用的是Protel2004 版本。电路原理图的设计是印制电路板设计中的第一步,也是非常重要的一步。电路原理图设计得好坏将直接影响到后面的工作。首先,原理图的正确性是最基本的要求,因为在一个错误的基础上所进行的工作是没有意义的;其次,原理图应该布局合理,这样不仅可以尽量避免出错,也便于读图、便于查找和纠正错误;最后,在满足正确性和布局合理的前提下应力求原理图的美观。 电路原理图的设计过程可分为以下几个步骤: 1、设置电路图纸参数及相关信息根据电路图的复杂程度设置图纸的格式、尺寸、方向等参数以及与设计有关的信息,为以后的设计工作建立一个合适的工作平面。 2、装入所需要的元件库将所需的元件库装入设计系统中,以便从中查找和选定所需的元器件。 3、设置元件将选定的元件放置到已建立好的工作平面上,并对元件在工作平面上的位置进行调整,对元件的序号、封装形式、显示状态等进行定义和设置,以便为下一步的布线工作打好基础。 4、电路图布线利用Protel 2004所提供的各种工具、命令进行画图工作,将事先放置好的元器件用具有电气意义的导线、网络标号等连接起来,布线结束后,一张完整的电路原理图基本完成。 5、调整、检查和修改利用Prote2004所提供的各种工具对前面所绘制的原理图做进一步的调整和修改。 6、补充完善对原理图做一些相应的说明、标注和修饰,增加可读性和可观性。 2 硬件单元电路调试 对于本波形法发生器,其硬件电路的调试最重要的地方在于板子制作的前期一

定要保证其质量,尽量减少因虚焊等因不细心造成的故障。将元件焊接完毕后,为了方便调试,采用分块调试的方法。电路由多个模块组成,D/A 转换 电路、显示电路、电源电路、按键电路、复位电路。因为这次在焊点的时候比较细心,所以焊得很结实,检验的时候,未发现有虚焊的问题。 5.2.1 焊电路 设计好电路图,开始焊电路板,刚开始觉得线路很简单,所以电路排版没花心思,真正开始焊的时候才发现相当麻烦,导线用去很多,看起来有点乱。由于元气件的管脚图并不跟原理图中一样,所以必须先查阅资料弄明白各个器件的封装,像LED先用万用表检测是共阴还是共阳,每个管脚对应哪一段也可以检测。还有四脚的按键也要测出哪两脚是相通的等等。 5.2.2 硬件电路的总体检查 电路板焊完之后,应该首先认真细致地检查一遍,确认无误后方能通电。通电前检查,主要检查以下内容: 第一,根据硬件电气原理图和装配图仔细检查线路的正确性,并检查元器件安装是否正确。尤其注意的是芯片、二极管和开关管的极性、电容器的耐压和极性、电阻的阻值和功率是否与设计图纸相符,重点检查系统总线间或总线与其它信号线间是否存在短路;第二,检查焊接点是否牢固,特别要仔细检查有无漏焊和错焊;对于靠得很近的相邻焊点,要注意检查金属毛刺和是否短路,必要时可用欧姆表进行测量;第三,在不加电的情况下,插上所有元器件,为联机调试作准备。确保电源和地无故障之后,再通电,然后检查各电源+5V、+12V 和-12V电压数值的正确性。排除可能出现的故障后,再进行各单元电路调试。 5.2.3 单元电路调试 1 、单片机最小系统调试 按照前面设计的单片机最小系统和电源,焊接并插上相应的元器件,连好线,检查正确无误后,接上电源,用示波器测试单片机的时钟波形。时钟波形和频率正确,进行下一步检查。 切断电源,空出单片机AT89S51的位置,并在此位置上插入仿真器的40芯

函数信号发生器的设计与制作

Xuchang Electric V ocational College 毕业论文(设计) 题目:函数信号发生器的设计与制作 系部:电气工程系_ 班级:12电气自动化技术 姓名:张广超 指导老师:郝琳 完成日期:2014/5/20

毕业论文内容摘要

目录 1引言 (3) 1.1研究背景与意义 (3) 1.2研究思路与主要内容 (3) 2 方案选择 (4) 2.1方案一 (4) 2.2方案二 (4) 3基本原理 (5) 4稳压电源 (6) 4.1直流稳压电源设计思路 (6) 4.2直流稳压电源原理 (6) 4.3集成三端稳压器 (7) 5系统工作原理与分析 (8) 5.1ICL8038芯片性能特点简介 (8) 5.2ICL8038的应用 (8) 5.3ICL8038原理简介 (8) 5.4电路分析 (9) 5.5ICL8038内部原理 (10) 5.6工作原理 (11) 5.7正弦函数信号的失真度调节 (11) 5.8ICL8038的典型应用 (12) 5.9输出驱动部分 (12) 结论 (14) 致谢 (15) 参考文献 (16) 附录 (17)

1引言 信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波(含方波)、正弦波的电路被称为函数信号发生器。 1.1研究背景与意义 函数信号发生器是工业生产、产品开发、科学研究等领域必备的工具,它产生的锯齿波和正弦波、矩形波、三角波是常用的基本测试信号。在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波信号产生器作为时基电路。例如,要在示波器荧光屏上不失真地观察到被测信号波形,要求在水平偏转线圈上加随时间线性变化的电压——锯齿波电压,使电子束沿水平方向匀速搜索荧光屏。对于三角波,方波同样有重要的作用,而函数信号发生器是指一般能自动产生方波正弦波三角波以及锯齿波阶梯波等电压波形的电路或仪器。因此,建议开发一种能产生方波、正弦波、三角波的函数信号发生器。函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如 ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的[1]。 1.2研究思路与主要内容 本文主要以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术实验使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从几赫到几百千赫的低失真正弦波、三角波、矩形波等脉冲信号。基于ICL8038函数信号发生器主要电源供电、波形发生、输出驱动三大部分组成。电源供电部分:主要由集成三端稳压管LM7812和LM7912构成的±12V直流电压作为整个系统的供电。波形发生部分:主要由单片集成函数信号发生器ICL8038构成。通过改变接入电路的电阻或电容的大小,能够得到几赫到几百千赫不同频率的信号。输出驱动部分:主要由运放LF353构成。由于ICL8038的输出信号幅度较小,需要放大输出信号。ICL8038的输出信号经过运放LF353放大后能够得到输出幅度较大的信号[2]。

基于单片机的信号发生器(完整电路_程序)资料

电子与信息工程学院综合实验课程报告 实验名称:基于单片机的信号发生器的设计与实现班级:10电工2班 学号:20101851046 姓名:李俊 指导教师: 时间:

摘要 本文以STC89C51单片机为核心设计了一个低频函数信号发生器。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如 正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统可以产生最高频率798.6HZ的波形。该信号发生器具有体积小、价格低、性能稳定、功能齐全的优点。 关键词:低频信号发生器;单片机;D /A转换; 1设计选题及任务 设计题目:基于单片机的信号发生器的设计与实现 任务与要求: 设计一个由单片机控制的信号发生器。运用单片机系统控制产生多种波形,这些波形包括方波、三角波、锯齿波、正弦波等。信号发生器所产生的波形的频率、幅度均可调节。并可通过软件任意改变信号的波形。 基本要求: 1. 产生三种以上波形。如正弦波、三角波、矩形波等。 2.最大频率不低于500Hz。并且频率可按一定规律调节,如周期按1T,2T,3T,4T 或1T,2T,4T,8T变化。 3.幅度可调,峰峰值在0——5V之间变化。 扩展要求:产生更多的频率和波形。 2系统概述 2.1方案论证和比较 2.1.1总体方案: 方案一:采用模拟电路搭建函数信号发生器,它可以同时产生方波、三角波、正弦波。但是这种模块产生的不能产生任意的波形(例如梯形波),并且频率调节很不方便。 方案二:采用锁相式频率合成器,利用锁相环,将压控振荡器(VCO)的输出频率锁定在所需频率上,该方案性能良好,但难以达到输出频率覆盖系数的要求,且电路复杂。

相关主题
文本预览
相关文档 最新文档