当前位置:文档之家› 模数转换实验报告

模数转换实验报告

模数转换实验报告
模数转换实验报告

单片机控制ADC0809的模数转换与显示

一、实验内容和要求

本题目对单片机控制ADC0809(Proteus的元件库中没有ADC0809,用ADC0808来代替)的通道3的电压模拟量进行模数转换,转换为数字量后,显示在3位数码管上。调节图中的电位器,可观察到数码管显示的电压值在变化。

二、实验主要仪器设备和材料

计算机一台

三、实验方法、步骤及结果测试

所有操作都在ISIS中进行,步骤如下。

(一)、Proteus电路设计

1.从Proteus库中选取元器件

(1)AT89C51:单片机;

(2)RES:电阻;

(3)7SEG-MAPX4-CC-BLUE

(4)CAP、CAP-ELEC:电容、电解电容

(5)CRYSTAL:晶振;

(6)BUTTON:开关

(7)BUTTON

(8)ADC0808

(9)POT-HG

(10)LED-YELLOW

(11)MAX7219

(12)RESONATOR

2.放置元器件、放置电源和地、连线、元器件属性设置、电气检测

所有操作都在ISIS中进行

完成的电路图设计如图

(二)、源程序设计

1、流程图

2、通过Keil u Vision4建立工程,再建立源程序文件

源程序如下

主机程序:

LED_0 EQU 30H

LED_1 EQU 31H

LED_2 EQU 32H

ADC EQU 35H

CLOCK BIT P2.4

ST BIT P2.5

EOC BIT P2.6

OE BIT P2.7

ORG 00H

SJMP START

ORG 0BH

LJMP INT_T0

START:

MOV LED_0, #00H

MOV P2,#0FFH

MOV LED_1,#00H

MOV LED_2,#00H

MOV DPTR,#TABLE

MOV TMOD,#02H ;设置定时器工作方式2 MOV TH0,#245

MOV TL0,#00H

MOV IE,#82H ;开总中断和定时器0中断

SETB TR0 ;启动定时器0

WAIT:

CLR ST

SETB ST

CLR ST

JNB EOC,$ ;判断A/D转换结束否

SETB OE ;允许数据量输出

MOV ADC,P3

CLR OE

MOV A,ADC

MOV B,#51

DIV AB

MOV LED_2, A

MOV A,B

MOV B,#5

DIV AB

MOV LED_1, A

MOV LED_0, B

LCALL DISP ;跳至显示子程序

SJMP WAIT

INT_T0: ;定时器T0中断子程序

CPL CLOCK

RETI

DISP: ;显示子程序

MOV A, LED_0

MOVC A,@A+DPTR

CLR P2.3 ;显示数码管右边第一位数字

MOV P0,A

LCALL DELAY

SETB P2.3

MOV A, LED_1

MOVC A,@A+DPTR

CLR P2.2 ;显示数码管右边第二位数字

MOV P0,A

LCALL DELAY

SETB P2.2

MOV A,LED_2

MOVC A,@A+DPTR

CLR P2.1 ;显示数码管右边第三位数字

ORL A,#80H ;显示小数点

MOV P0,A

LCALL DELAY

SETB P2.1

RET

DELAY: ;延时子程序

MOV R6, #10

D1:

MOV R7,#250

DJNZ R7,$

DJNZ R6, D1

RET

TABLE:

DB 3FH, 06H, 5BH, 4FH, 66H

DB 6DH, 7DH, 07H, 7FH, 6FH

END

通过按钮“Build target”编译源程序,生成目标代码文件*.hex文件。若编译失败,对程序修改调试直至编译成功。

(三)、Proteus仿真

1、加载目标代码

右击选中的ISIS编辑区中的单片机AT89C51,再单击打开其属性窗口,在“Program File”右侧框中输入目标代码“*.hex”文件,再在Clock Frequency 栏中设置12MHz,仿真系统则以12MHz的时钟频率运行。

2、仿真

单击仿真按钮进行仿真,仿真运行片段如下图所示:

数模模数转换实验报告

数模模数转换实验报告 一、实验目的 1、了解数模和模数转换电路的接口方法及相应程序设计方法。 2、了解数模和模数转换电路芯片的性能和工作时序。 二、实验条件 1、DOS操作系统平台 2、数模转换芯片DAC0832和模数转换器ADC0809芯片。 三、实验原理 1、数模转换: (1)微机处理的数据都是数字信号,而实际的执行电路很多都是模拟的。因此微机的处理结果又常常需要转换为模拟信号去驱动相应的执行单元,实现对被控对象的控制。这种把数字量转换为模拟量的设备称为数模转换器(DAC),简称D/A。 (2)实验中所用的数模转换芯片是DAC0832,它是由输入寄存器、DAC 寄存器和D/A 转换器组成的CMOS 器件。其特点是片内包含两个独立的8 位寄存器,因而具有二次缓冲功能,可以将被转换的数据预先存在DAC 寄存器中,同时又采集下一组数据,这就可以根据需要快速修改DAC0832 的输出。 2、模数转换: (1)在工程实时控制中,经常要把检测到的连续变化的模拟信号,如温度、压力、速度等转换为离散的数字量,才能输入计算机进行处理。实现模拟量到数字量转换的设备就是模数转换器(ADC),简称A/D。

(2)模数转换芯片的工作过程大体分为三个阶段:首先要启动模数转换过程。其次,由于转换过程需要时间,不能立即得到结果,所以需要等待一段时间。一般模数转换芯片会有一条专门的信号线表示转换是否结束。微机可以将这条信号线作为中断请求信号,用中断的方式得到转换结束的消息,也可以对这条信号线进行查询,还可以采用固定延时进行等待(因为这类芯片转换时间是固定的,事先可以知道)。最后,当判断转换已经结束的时候,微机就可以从模数转换芯片中读出转换结果。 (3)实验采用的是8 路8 位模数转换器ADC0809 芯片。ADC0809 采用逐次比较的方式进行A/D 转换,其主要原理为:将一待转换的模拟信号与一个推测信号进行比较,根据推测信号是大于还是小于输入信号来决定增大还是减少该推测信号,以便向模拟输入逼近。推测信号由D/A 转换器的输出获得,当推测信号与模拟信号相等时,向D/A 转换器输入的数字就是对应模拟信号的数字量。ADC0809 的转换时间为64 个时钟周期(时钟频率500K 时为128S)。分辨率为 8 位,转换精度为±LSB/2,单电源+5V 供电时输入模拟电压范围为04.98V。 四、实验内容 1、把DAC0832 的片选接偏移为10H 的地址,使用debug 命令来测试 DAC0832 的输出,通过设置不同的输出值,使用万用表测量Ua 和Ub 的模拟电压,检验DAC0832 的功能。选取典型(最低、最高和半量程等)的二进制值进行检验,记录测得的结果。实验结果记录如下:

实验一 D、A数模转换实验

实验一D/A数模转换实验 一、实验目的 1.掌握数模转换的基本原理。 2.熟悉12位D/A转换的方法。 二、实验仪器 1.EL-A T-II型计算机控制系统实验箱一台 2.PC计算机一台 三、实验内容 通过A/D&D/A卡完成12位D/A转换实验,在这里用双极性模拟量输出,数字量输入范围为:0~4096,模拟量输出范围为:-5V~+5V。转换公式如下:U0=Vref-2Vref(211K11+210K10+…20K0)/212 Vref=5.0V 例如:数字量=000110011001 则 K11=0,K10=0,K9=0,K8=1,K7=1,K6=0,K5=0,K4=1,K3=1,K2=0,K1=0,K0=1 模拟量U0=Vref-2Vref(211K11+210K10+…20K0)/212=4V 四、实验步骤 1.连接A/D、D/A卡的DA输出通道和AD采集通道。A/D、D/A卡的DA1的输出接A/D、D/A卡的AD1输入。检查无误后接通电源。 2.启动计算机,在桌面上双击图表[Computerctrl]或在计算机程序组中运行[Computerctrl]软件。 3.测试计算机与实验箱的通信是否正常,通信正常继续。如通信不正常查找原因使通信正常后才可以继续进行实验。 4.在实验课题下拉菜单中选择实验一[D/A模数转换实验],鼠标单击该选项弹出实验课题参数窗口。 5.在弹出的参数窗口中填入想要变换的数字量,点击变换,在下面的文字框内将算出变换后的模拟量。 6.点击运行,在显示窗口观测采集到的模拟量。并将测量结果填入下表:

五、实验报告 1.画出数字量与模拟量的对应曲线 2.计算出理论值,将其与实验结果比较,分析产生误差的原因。

单片机AD模数转换实验报告

一、实验目的和要求 1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D转换程序。 5、启动A/D转换,将输入模拟量的转换结果在显示器上显示。 三、电路原理图。 图1、电路仿真图

四、实验程序流程框图和程序清单。 1、 查询法: ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB P3.3, LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: MOV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码 送位选信号延时1ms 熄灭第四位数码管 延时1ms 返回

数模转换器和模数转换器实验报告

实验报告 课程名称微机原理与接口技术 实验项目实验五 数/模转换器和模/数转换器实验实验仪器 TPC-USB通用微机接口实验系统 系别计算机系 专业网络工程 班级/学号 学生 _ 实验日期 成绩_______________________ 指导教师王欣

实验五数/模转换器和模/数转换器实验 一、实验目的 1. 了解数/模转换器的基本原理,掌握DAC0832芯片的使用方法。 2. 了解模/数转换器的基本原理,掌握ADC0809的使用方法。 二.实验设备 1.PC微机系统一套 2.TPC-USB通用微机接口实验系统一套 三.实验要求 1.实验前要作好充分准备,包括程序框图、源程序清单、调试步骤、测试方法、对运行结果的分析等。 2.熟悉与实验有关的系统软件(如编辑程序、汇编程序、连接程序和调试程序等)使用方法。在程序调试过程中,有意识地了解并掌握TPC-USB通用微机接口实验系统的软硬件环境及使用,掌握程序的调试及运行的方法技巧。 3.实验前仔细阅读理解教材相关章节的相关容,实验时必须携带教材及实验讲义。 四.实验容及步骤 (一)数/模转换器实验 1.实验电路原理如图1,DAC0832采用单缓冲方式,具有单双极性输入端(图中的Ua、Ub),编程产生以下锯齿波(从Ua和Ub输出,用示波器观察) 图1 实验连接参考电路图之一 编程提示: 1. 8位D/A转换器DAC0832的口地址为290H,输入数据与输出电压的关系为:

(UREF表示参考电压,N表示数数据),这里的参考电压为PC机的+5V电源。 2. 产生锯齿波只须将输出到DAC0832的数据由0循环递增。 3. 参考流程图(见图2): 图2 实验参考流程图之一 (二)模/数转换器 1. 实验电路原理图如图3。将实验(一)的DAC的输出Ua,送入ADC0809通道1(IN1)。 图3 实验连接参考电路图之二 2. 编程采集IN1输入的电压,在屏幕上显示出转换后的数据(用16进制数)。编程提示: 1. ADC0809的IN0口地址为298H,IN1口地址为299H。 2. IN0单极性输入电压与转换后数字的关系为:

数模及模数转换器习题解答

数模及模数转换器习题 解答 Document number:NOCG-YUNOO-BUYTT-UU986-1986UT

自我检测题 1.就实质而言,D/A 转换器类似于译码器,A/D 转换器类似于编码器。 2.电压比较器相当于1位A/D 转换器。 3.A/D 转换的过程可分为 采样 、保持、量化、编码4个步骤。 4.就逐次逼近型和双积分型两种A/D 转换器而言, 双积分型 的抗干扰能力强, 逐次逼近型 的转换速度快。 5.A/D 6.8位D/A 1时,输出电压为,若输入数字量只有最高位为1时,则输出电压为 V 。 A . B .2.56 C . D .都不是 7.D/A 转换器的主要参数有 、转换精度和转换速度。 A .分辨率 B .输入电阻 C .输出电阻 D .参考电压 8.图所示R-2R 网络型D/A 转换器的转换公式为 。 V REF v O 图 A .∑=?- =3 3 REF o 2 2 i i i D V v B .∑=?- =3 4 REF o 2 232i i i D V v D .∑=?= 3 4 REF o 2 2i i i D V v 9.D/A 转换器可能存在哪几种转换误差试分析误差的特点及其产生误差的原因。 解:D/A 转换器的转换误差是一个综合性的静态性能指标,通常以偏移误差、增益误差、非线性误差等内容来描述转换误差。 偏移误差是指D/A 转换器输出模拟量的实际起始数值与理想起始数值之差。 增益误差是指实际转换特性曲线的斜率与理想特性曲线的斜率的偏差。 D/A 转换器实际的包络线与两端点间的直线比较仍可能存在误差,这种误差称为非线性误差。

单片机AD模数转换实验报告

单片机AD模数转换实验报告

一、实验目的和要求 1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D 转换程序。 5、启动A/D转换,将输入模拟量的转换

结果在显示器上显示。 三、电路原理图。 图1、电路仿真图 四、实验程序流程框图和程序清单。 1、查询法: ORG 0000H START: LJMP MAIN ORG 0100H

MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB P3.3, LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: M OV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H END display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码送位选信号延时1ms 熄灭第四位数码管延时1ms 返回

模数转换器ADC0809应用原理

AD0809应用原理--很全面的资料 1. 0809的芯片说明: ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS 组件。它是逐次逼近式A/D转换器,可以和单片机直接接口。 (1)ADC0809的内部逻辑结构 由上图可知,ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当O E端为高电平时,才可以从三态输出锁存器取走转换完的数据。 (2).引脚结构 IN0-IN7:8条模拟量输入通道

如下图所示,从ADC0809的通道IN3输入0-5V之间的模拟量,通过ADC0809转换成数字量在数码管上以十进制形成显示出来。ADC0809的VREF接+5V电压。 4.电路原理图 5.程序设计: (1).进行A/D转换时,采用查询EOC的标志信号来检测A/D转换是否完毕,若完毕则把数据通过P0端口读入,经过数据处理之后在数码管上显示。 (2).进行A/D转换之前,要启动转换的方法: ABC=110选择第三通道 ST=0,ST=1,ST=0产生启动转换的正脉冲信号 . (3). 关于0809的计算: ad0809是根据逐位逼近的方法产生数据的。。 参考电压为0-5V的话。以0809八位255的转换精度每一位的电压值为(5-0)/255≈0. 0196V 设输入电压为X则: X-27*0.0196>=0则AD7=1否则AD7=0。 X-26*0.0196>=0则AD6=1否则AD6=0。 X-20*0.0196>=0则AD0=1否则AD0=0。 (27指2的7次方。26-------20同理) 若参考电压为0-1V (1-0)/255≈0.0039V精度自然高了。。可测量范围小了。 1)汇编源程序: CH EQU 30H DPCNT EQU 31H DPBUF EQU 33H GDATA EQU 32H ST BIT P3.0

模 数(A D)转换器(微机实验报告)

模/数(A/D)转换器 一、实验目的 1、掌握ADC0809模数转换芯片与计算机的连接方法; 2、了解ADC0809芯片的功能及编程方法; 3、了解计算机如何进行数据采集。 二、实验设备 1、PC机一台 2、TPC-H微机接口实验系统实验箱一台 3、连接导线若干 三、实验内容 1、实验电路原理图如图1。 ADC0809是8位A/ D转换器,每采集一次一般需100 s。由于ADC0809 A/ D转换器转换结束后会自动产生EOC信号(高电平有效)。通过实验台左下角电位器RW1输出0~5V直流电压送入ADC0809通道0(IN0),利用debug的输出命令启动A/D转换器,输入命令读取转换结果,验证输入电压与转换后数字的关系。启动IN0开始转换: O 298, 0 读取转换结果: I 298 图1 模数转换电路 2、编程采集IN0输入的电压,在屏幕上显示出转换后的数据(用16进制数)。 3、将JP3的1、2短接,使IN1处于双极性工作方式,并给IN1输入一个低频交流信号(幅度为±5V),编程采集这个信号数据并在屏幕上显示波形。 四、编程提示

1、ADC0809的IN0口地址为298H,IN1口地址为299H。 2、IN0单极性输入电压与转换后数字的关系为: 其中Ui为输入电压,UREF为参考电压,这里的参考电压为PC机的+5V电源。 3、一次A/D转换的程序可以为 MOV DX,口地址 OUT DX,AL ;启动转换 ;延时 IN AL,DX ;读取转换结果放在AL 五、参考流程图 图2 流程图1

图3 流程图2 六、实验源程序 code segment assume cs:code start: mov dx,298h ;启动A/D转换器 out dx,al mov cx,0ffh ;延迟 delay: loop delay in al,dx ;从A/D转换器输入数据 mov bl,al ;将Al保存到BL mov cl,4 shr al,cl ;将AL右移四位 call disp ;将显示子程序显示其高四位 mov al,bl

模数转换器原理

模数(A/D)转换器工作原理A/D转换器(Analog-to-Digital Converter)又叫模/数转换器,即是将模拟信号(电压或是电流的形式)转换成数字信号。这种数字信号可让仪表,计算机外设接口或是微处理机来加以操作或胜作使用。 A/D 转换器 (ADC)的型式有很多种,方式的不同会影响测量后的精准度。 A/D 转换器的功能是把模拟量变换成数字量。由于实现这种转换的工作原理和采用工艺技术不同,因此生产出种类繁多的A/D 转换芯片。 A/D 转换器按分辨率分为4 位、6 位、8 位、10 位、14 位、16 位和BCD码的31/2 位、51/2 位等。按照转换速度可分为超高速(转换时间=330ns),次超高速(330~3.3μS),高速(转换时间3.3~333μS),低速(转换时间>330μS)等。 A/D 转换器按照转换原理可分为直接A/D 转换器和间接A/D 转换器。所谓直接A/D 转换器,是把模拟信号直接转换成数字信号,如逐次逼近型,并联比较型等。其中逐次逼近型A/D 转换器,易于用集成工艺实现,且能达到较高的分辨率和速度,故目前集成化A/D 芯片采用逐次逼近型者多;间接A/D 转换器是先把模拟量转换成中间量,然后再转换成数字量,如电压/时间转换型(积分型),电压/频率转换型,电压/脉宽转换型等。其中积分型A/D 转换器电路简单,抗干扰能力强,切能作到高分辨率,但转换速度较慢。有些转换器还将多路开关、基准电压源、时钟电路、译码器和转换电路集成在一个芯片内,已超出了单纯A/D 转换功能,使用十分方便。 ADC 经常用于通讯、数字相机、仪器和测量以及计算机系统中,可方便数字讯号处理和信息的储存。大多数情况下,ADC 的功能会与数字电路整合在同一芯片上,但部份设备仍需使用独立的ADC。行动电话是数字芯片中整合ADC 功能的例子,而具有更高要求的蜂巢式基地台则需依赖独立的ADC 以提供最佳性能。 ADC 具备一些特性,包括: 1. 模拟输入,可以是单信道或多信道模拟输入; 2. 参考输入电压,该电压可由外部提供,也可以在ADC 内部产生; 3. 频率输入,通常由外部提供,用于确定ADC 的转换速率; 4. 电源输入,通常有模拟和数字电源接脚; 5. 数字输出,ADC 可以提供平行或串行的数字输出。在输出位数越多(分辨率越好)以及转换时间越快的要求下,其制造成本与单价就越贵。 一个完整的A/D转换过程中,必须包括取样、保持、量化与编码等几部分电路。 AD转换器需注意的项目: 取样与保持 量化与编码

模数转换实验报告

单片机控制ADC0809的模数转换与显示 一、实验内容和要求 本题目对单片机控制ADC0809(Proteus的元件库中没有ADC0809,用ADC0808来代替)的通道3的电压模拟量进行模数转换,转换为数字量后,显示在3位数码管上。调节图中的电位器,可观察到数码管显示的电压值在变化。 二、实验主要仪器设备和材料 计算机一台 三、实验方法、步骤及结果测试 所有操作都在ISIS中进行,步骤如下。 (一)、Proteus电路设计 1.从Proteus库中选取元器件 (1)AT89C51:单片机; (2)RES:电阻; (3)7SEG-MAPX4-CC-BLUE (4)CAP、CAP-ELEC:电容、电解电容 (5)CRYSTAL:晶振; (6)BUTTON:开关 (7)BUTTON (8)ADC0808 (9)POT-HG (10)LED-YELLOW (11)MAX7219 (12)RESONATOR 2.放置元器件、放置电源和地、连线、元器件属性设置、电气检测 所有操作都在ISIS中进行 完成的电路图设计如图

(二)、源程序设计 1、流程图 2、通过Keil u Vision4建立工程,再建立源程序文件

源程序如下 主机程序: LED_0 EQU 30H LED_1 EQU 31H LED_2 EQU 32H ADC EQU 35H CLOCK BIT P2.4 ST BIT P2.5 EOC BIT P2.6 OE BIT P2.7 ORG 00H SJMP START ORG 0BH LJMP INT_T0 START: MOV LED_0, #00H MOV P2,#0FFH MOV LED_1,#00H MOV LED_2,#00H MOV DPTR,#TABLE MOV TMOD,#02H ;设置定时器工作方式2 MOV TH0,#245 MOV TL0,#00H MOV IE,#82H ;开总中断和定时器0中断 SETB TR0 ;启动定时器0 WAIT: CLR ST SETB ST CLR ST JNB EOC,$ ;判断A/D转换结束否 SETB OE ;允许数据量输出 MOV ADC,P3 CLR OE MOV A,ADC MOV B,#51 DIV AB MOV LED_2, A MOV A,B MOV B,#5 DIV AB MOV LED_1, A MOV LED_0, B LCALL DISP ;跳至显示子程序 SJMP WAIT

实验十ADC0832数模转换的显示

实验报告十 实验名称:ADC0832数模转换的显示 目的:ADC0832是8脚双列直插式双通道A/D转换器,能分别对两路模拟信号实现模—数转换,可以用在单端输入方式和差分方式下工作。ADC0832采用串行通信方式,通过DI 数据输入端进行通道选择、数据采集及数据传送。8位的分辨率(最高分辨可达256级),可以适应一般的模拟量转换要求。其内部电源输入与参考电压的复用,使得芯片的模拟电压输入在0~5V之间。具有双数据输出可作为数据校验,以减少数据误差,转换速度快且稳定性能强。独立的芯片使能输入,使多器件挂接和处理器控制变的更加方便。 ADC0832的工作原理: 正常情况下ADC0832 与单片机的接口应为4条数据线,分别是CS、CLK、DO、DI。但由于DO端与DI端在通信时并未同时使用并与单片机的接口是双向的,所以在I/O口资源紧张时可以将DO和DI并联在一根数据线上使用。当ADC0832未工作时其CS输入端应为高电平,此时芯片禁用,CLK 和DO/DI 的电平可任意。当要进行A/D转换时,须先将CS使能端置于低电平并且保持低电平直到转换完全结束。此时芯片开始转换工作,同时由处理器向芯片时钟(CLK)输入端输入时钟脉冲,DO/DI端则使用DI端输入通道功能选择的数据信号。在第一个时钟脉冲的下沉之前DI端必须是高电平,表示启始信号。在第二、三个脉冲下沉之前DI端应输入两位数据用于选择通道功能。 通道地址通道 工作方式说明 SGL/DIF ODD/SIGN 0 1 0 0 + - 差分方式 0 1 - + 1 0 + 单端输入方式 1 1 + 表1:通道地址设置表 如表1所示,当此两位数据为“1”、“0”时,只对CH0 进行单通道转换。当2位数据为“1”、“1”时,只对CH1进行单通道转换。当两位数据为“0”、“0”时,将CH0作为正输入端IN+,CH1作为负输入端IN-进行输入。当两位数据为“0”、“1”时,将CH0作为负输入端IN-,CH1 作为正输入端IN+进行输入。到第三个脉冲的下降之后DI端的输入电平就失去输入作用,此后DO/DI端则开始利用数据输出DO进行转换数据的读取。从第4个脉冲下降沿开始由DO端输出转换数据最高位Data7,随后每一个脉冲的下降沿DO端输出下一位数据。直到第11个脉冲时发出最低位数据Data0,一个字节的数据输出完成。也正是从此位开始输出下一个相反字节的数据,即从第11个字节的下降沿输出Data0。随后输出8位数据,到第19 个脉冲时数据输出完成,也标志着一次A/D 转换的结束。最后将CS置高电平禁用芯片,直接将转换后的数据进行处理就可以了。时序说明请参照图4。

模数转换原理概述

模数转换原理概述 随着数字电子技术的迅速发展,各种数字设备,特别是数字电子计算机的应用日益广泛,几乎渗透到国民经济的所有领域之中。数字计算机只能够对数字信号进行处理,处理的结果还是数字量,它在用于生产过程自动控制的时候,所要处理的变量往往是连续变化的物理量,如温度、压力、速度等都是模拟量,这些非电子信号的模拟量先要经过传感器变成电压或者电流信号,然后再转换成数字量,才能够送往计算机进行处理。 模拟量转换成数字量的过程被称为模数转换,简称A/D(Analog to Digital)转换;完成模数转换的电路被称为A/D转换器,简称ADC(Analog to Digital Converter)。数字量转换成模拟量的过程称为数模转换,简称D/A(Digital to Analog)转换;完成数模转换的电路称为D/A转换器,简称DAC(Digital to Converter)。带有模数和数模转换电路的测控系统大致可用图1.1所示的框图表示。 图1.1 一般测控系统框图 图中模拟信号由传感器转换为电信号,经放大送入AD转换器转换为数字量,由数字电路进行处理,再由DA转换器还原为模拟量,去驱动执行部件。为了保证数据处理结果的准确性,AD转换器和DA转换器必须有足够的转换精度。同时,为了适应快速过程的控制和检测的需要,AD转换器和DA转换器还必须有足够快的转换速度。因此,转换精度和转换速度乃是衡量AD转换器和DA转换器性能优劣的主要标志。 本课程设计主要讲解万用表的原理与制作,仅涉及到A/D的相关知识。因此,在本章节中仅介绍ADC的相关知识,对DAC感兴趣的同学可以查阅“数字电路”的相关知识。 A/D转换的基本概念 AD转换器的功能是将输入的模拟电压转换为输出的数字信号,即将模拟量

单片机AD模数转换实验报告

、实验目的和要求 1掌握单片机与 ADC0809的接口设计方法 2、掌握Proteus 软件与Keil 软件的使用方法 二、设计要求。 1、 用Proteus 软件画出电路原理图, 在单片机的外部扩展片外三总线, 总线 与0809接口。 2、 在0809的某一模拟量输入通道上接外部模拟量。 3、 在单片机的外部扩展数码管显示器。 4、 分别采用延时和查询的方法编写 A/D 转换程序。 5、 启动A/D 转换,将输入模拟量的转换结果在显示器上显示。 三、电路原理图。 图1、电路仿真图 并通过片外三 n Frr inn LB LL ir~ 才 TT 2ira : 2.1边 存 10 丄 Wil 乙*TH zan.13 2.irxis Z5TS.13 2.1rt19 ricrra 1 2 1c 1 c 儿IE" jjm 3 ATI 「u rip. XTAGl; PEL. ■ .L^c

ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB P3.3, LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL RET DELAY DELAY: MOV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ RET R5, DL1 WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9 H,0A4H,0B0 1、 BH 四、实验程序流程框图和程序清单。 查询法: 屈刎D 判断P :L 3 4 从ND 中取数抑 数据处理 调显示了函数 display 丁 送百分位字符代码 送位选信号 延时1ms 送十分位字符代码 送位选信号 延时1ms 送个位及小数点字符代码 送位选信号 延时1ms 熄灭第四位数码管 延时1ms 返回

单片机DA数模转换实验报告

一、实验目的和要求 1、掌握单片机与DAC0832的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0832接口。 2、在单片机的外部扩展一个4个按键的键盘。 3、按下K0,产生连续方波信号。 4、按下K1,产生连续锯齿波信号。 5、按下K2,产生连续三角波信号。 6、按下K3,产生连续正弦波信号。 7、通过外接示波器观察波形。 三、电路原理图。 图1、电路仿真图

四、实验程序流程框图和程序清单。 ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #60H LOOP: LCALL KEY JB 20H.0, JUCHIBO JB 20H.1, JUXINGBO JB 20H.2, SANJIAOBO JB 20H.3, ZHENGXIAN JB 20H.4, TIXINGBO LJMP LOOP JUCHIBO: MOV R2, #00H LOOP1: MOV DPTR, #0000H MOV A , R2 MOVX @DPTR, A LCALL KEY JB 20H.0, JUCHIBO JB 20H.1, JUXINGBO JB 20H.2, SANJIAOBO JB 20H.3, ZHENGXIAN JB 20H.4, TIXINGBO INC R2 LJMP LOOP1 JUXINGBO: MOV DPTR , #0000H LOOP2: MOV R3, #0FFH MOV A, R3 MOVX @DPTR, A LCALL DELAY1ms LCALL KEY JB 20H.0, JUCHIBO JB 20H.1, JUXINGBO JB 20H.2, SANJIAOBO JB 20H.3, ZHENGXIAN JB 20H.4, TIXINGBO MOV R3, #00H MOV A, R3 MOVX @DPTR, A LCALL DELAY1ms LCALL KEY JB 20H.0, JUCHIBO JB 20H.1, JUXINGBO JB 20H.2, SANJIAOBO JB 20H.3, ZHENGXIAN JB 20H.4, TIXINGBO LJMP LOOP2 SANJIAOBO:MOV R2, #00H MOV DPTR, #0000H LOOP3: MOV A, R2 NOP NOP MOVX @DPTR, A INC R2 LCALL KEY JB 20H.0, JUCHIBO JB 20H.1, JUXINGBO JB 20H.2, SANJIAOBO

模数转换器基本原理及应用

Σ-Δ模数转换器基本原理及应用 一、Σ-Δ ADC基本原理 Σ-Δ ADC以很低的采样分辨率(1位)和很高的采样速率将模拟信号数字化, 通过使用过采样、噪声整形和数字滤波等方法增加有效分辨率, 然后对ADC输出进行采样抽取处理以降低有效采样速率。Σ-ΔADC的电路结构是由非常简单的模拟电路(一个比较器、一个开关、一个或几个积分器及模拟求和电路)和十分复杂的数字信号处理电路构成。要了解Σ-ΔADC的工作原理, 必须熟悉过采样、噪声整形、数字滤波和采样抽 取等基本概念 1.过采样 ADC是一种数字输出与模拟输入成正比的电路, 图1给出了理想3位单极性ADC的转换特性, 横坐标是输入电压U IN 的相对值, 纵坐标是经过采样量化的数字输出量, 以二进制000~111表示。理想ADC第一位的变迁发生在相当于1/2LSB的模拟电压值上, 以后每隔1LSB都发生一次变迁, 直至距离满度的1 1/2 LSB。因为ADC的模拟量输入可以是任何值, 但数字输出是量化的, 所以实际的模拟输入与数字输出之间存在±1/2LSB的量化误差。在交流采样应用中, 这种量化误差会产生量化噪声。 图1 理想3位ADC转换特性 如果对理想ADC加一恒定直流输入电压, 那么多次采样得到的数字输出值总是相同的, 而且分辨率受量化误差的限制。如果在这个直流输入信号上叠加一个交流信号, 并用比这交流信号频率高得多的采样频率进行采样, 此时得到的数字输出值将是变化的, 用这些采样结果的平均值表示ADC的转换结果便能得到比用同样ADC高得多的采样分辨率, 这种方法称作过采样(oversampling)。如果模拟输入电压本身就是交流信号, 则不必另叠加一个交流信号。采用过采样方法(采样频率远高于输入信号频率)也同样可提高ADC的分辨率。 由于过采样的采样速率高于输入信号最高频率的许多倍, 这有利于简化抗混叠滤波器的设计, 提高信噪比并改善动态范围。可以用频域分析方法来讨论过采样问题。由于直流信号转换具有的量化误差达1/2LSB, 所以数据采样系统具有量化噪声。一个理想的常规N位ADC的采样量化噪声有效值为q/12,均匀分布在奈奎斯特频带直流至fs/2范围内, 如图2所示。其中q为LSB的权重, fs为采样速率, 模拟低通滤波器将滤除fs/2以上的噪声。如果用Kfs的采样速率对输入信号进行采样(K

数模及模数转换器习题解答

. . . . 自我检测题 1.就实质而言,D/A转换器类似于译码器,A/D转换器类似于编码器。 2.电压比较器相当于1位A/D转换器。 3.A/D转换的过程可分为采样、保持、量化、编码4个步骤。 4.就逐次逼近型和双积分型两种A/D转换器而言,双积分型的抗干扰能力强,逐次逼近型的转换速度快。 5.A/D 6.8位D/A1时,输出电压为0.02V,若输入数字量只有最高位为1时,则输出电压为V。 A.0.039 B.2.56 C.1.27 D.都不是 7.D/A转换器的主要参数有、转换精度和转换速度。 A.分辨率B.输入电阻C.输出电阻D.参考电压 8.图T7.8所示R-2R网络型D/A转换器的转换公式为。 V REF v O 图T7.8 A.∑ = ? - = 3 3 REF o 2 2i i i D V v B.∑ = ? - = 3 4 REF o 2 2 3 2 i i i D V v D.∑ = ? = 3 4 REF o 2 2i i i D V v 9.D/A转换器可能存在哪几种转换误差?试分析误差的特点及其产生误差的原因。 解:D/A转换器的转换误差是一个综合性的静态性能指标,通常以偏移误差、增益误差、非线性误差等内容来描述转换误差。 偏移误差是指D/A转换器输出模拟量的实际起始数值与理想起始数值之差。 增益误差是指实际转换特性曲线的斜率与理想特性曲线的斜率的偏差。 D/A转换器实际的包络线与两端点间的直线比较仍可能存在误差,这种误差称为非线性误差。 10.比较权电阻型、R-2R网络型、权电流型等D/A转换器的特点,结合制造工艺、转换的精度和转换的速度等方面比较。

微型计算机AD转换实验报告

实验一 A/D与D/A 转换 一.实验目的 1.通过实验,熟悉并掌握实验系统原理与使用方法。 2.通过实验掌握模拟量通道中模数转换与数模转换的实现方法。 二.实验内容 1.利用实验系统完成测试信号的产生 2.测取模数转换的量化特性,并对其量化精度进行分析。 3.设计并完成两通道模数转换与数模转换实验。 三.实验步骤 1.了解并熟悉实验设备,掌握以C8051F060为核心的数据处理系统的模拟量通道设计方法,熟悉上位机的用户界面,学习其使用方法; 2.利用实验设备产生0~5V的斜坡信号,输入到一路模拟量输入通道,在上位机软件的界面上测取该模拟量输入通道当A/D转换数为4位时的模数转换量化特性; 3.利用实验箱设计并连接产生两路互为倒相的周期斜坡信号的电路,分别输入两路模拟量输入通道,在上位机界面的界面上测取它们的模数转换结果,然后将该转换结果的数字量,通过数模转换变为模拟量和输入信号作比较; 4.编写程序实现各种典型测试信号的产生,熟悉并掌握程序设计方法; 5.对实验结果进行分析,并完成实验报告。 四.附录 1.C8051F060概述 C8051F060是一个高性能数据采集芯片。芯片内集成了: (1)与8051兼容的内核:额定工作频率25MHz,流水线指令结构,70%的指令的执行时间为一个或两个系统时钟周期。5个通用16位定时器∕计数器,59条可编程的I/O线,22个中断源(2个优先级)。 (2)模拟I/O:C8051F060的ADC子系统包括两个1Msps、16 位分辨率的逐次逼近寄存器型ADC,ADC 中集成了跟踪保持电路、可编程窗口检测器和DMA 接口;两个12位电压输出DAC转换器,用于产生无抖动的波形。内部电压基准,精确的VDD监视器和欠压监测器。 (3)存贮器:64KB片内闪速/电擦除程序存贮器(EEPROM),4KB片内数据存贮器(SRAM)。 (4)片内其它外围:2个UART串行I/O,SPI串行I/O,专用的看门狗定时器,电源监视器,温度传感器,内部可编程振荡器3~24.5MHz或外接震荡器。 (5)供电电压:2.7V – 3.6V,多中节电和停机方式。 2.实验设备中的模拟量输入通道 (1)主要功能:允许-10V~+10V信号输入,而至C8051F060引脚ADC的信号则被

南昌大学计算机控制实验报告数/模转换实验汇编

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:■验证□综合□设计□创新实验日期:实验成绩: 实验一数/模转换实验 一.实验要求 掌握DAC0832芯片的性能、使用方法及对应的硬件电路。编写程序控制D/A输出的波形,使其输出周期性的三角波。 二.实验说明 电路实现见主板模块B1,具体说明请见用户手册。 DAC0832的片选CS0832接00H,观察输出端OUTl(B1部分)产生三角波由数字量的增减来控制,同时要注意三角波要分两段来产生。 三.实验步骤 1、接线:此处无需接线。 2、示例程序:见Cpl源文件,程序流程如下图所示。 3、运行虚拟示波器方法:打开LCAACT软件中“设置”一>“实验机”,将其中的程序段地址设为8100,偏移地址0000。然后选择“设置”一>“环境参数”一>“普通示波”,选择“工具”一>“加载目标文件”,本实验加载C:\AEDK\LCAACT\试验软件\CPI.EXE,然后选择在“工具”栏中“软件示波器”中“普通示波”,点击开始示波器即程序运行。以后每个实验中的虚拟示波器运行方法同上。只是加载的程 4、现象:程序执行, 用虚拟示波器 (CHl)观察输 出点OUT(B1

数模转换中),可 以测量到连续的 周期性三角波。 通过实验结果的图片,我们可以知道得出来的三角波的幅值为U=(3.01V+1.95V)=4.96V。T=1.3s模拟输出来的幅值和我们输入的5V有一定的偏差。 相对误差为(5-4.96)/5=0.8%, 因为0832是8为的,所以分辨率为1/256即0.004。 相比较一下本次实验的误差只有0.8%,相当于掉了两个单位的分辨率。在允许的误差范围之内。所以本次实验的结果还算是比较成功的。 四、实验小结 通过本次实验,我对数模转换的知识理解得更加透彻,以及如何使用DAC0832进行数模转换把数字量转换为模拟量并以三角波形式输出。还知道实践和理论是有一定差距的

单片机AD模数转换实验报告

一、实验目的与要求 1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时与查询的方法编写A/D转换程序。 5、启动A/D转换,将输入模拟量的转换结果在显示器上显示。 三、电路原理图。 图1、电路仿真图

四、实验程序流程框图与程序清单。 1、 查询法: ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB P3、3, LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: MOV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码 送位选信号延时1ms 熄灭第四位数码管 延时1ms 返回

相关主题
文本预览
相关文档 最新文档