当前位置:文档之家› 基于单片机的自动售货机设计

基于单片机的自动售货机设计

基于单片机的自动售货机设计
基于单片机的自动售货机设计

基于单片机的自动售货机设计

概括介绍了自动售货机的现状,针对电子创新实验室电子元件管理难题提出了一种能够自动管理与售卖电子元件的自动售货机的设计思路!给出了电子

元件自动售货机设计方案。首先研究了电子元件自动售货机的总体设计方案,分析了设计要求,接着设计了售货机的功能模块,最后给出了控制程序的设计方法。经过测试,该程序运行稳定符合设计要求。本文介绍了以AT89C51 单片机为核心的自动售货机的设备控制系统的设计。详细介绍了自动售货机系统的方案设计,硬件选型,软件的工作原理,并着重介绍了原理和技巧,自动售货机的系统设计,软件编程。该系统以AT89C51单片机为核心,采用集中控制方式实现自动售货机的全过程自动控制。使用汇编语言编写系统程序和C语言软件编程和编译,该软件具有编程简单的特点,方便检查错误,易于阅读,所以。汇编语言是一种基本的语言程序,简单易懂,便于记忆和使用等。

关键词:自动售货机;单片机;控制器;显示屏;AT89C51

1 绪论

1.1研究背景

随着经济的发展,传统的固定地点人员销售模式暴露了许多缺点:人力资源需求;所需的服务位置,地理条件;而不是服务时间的最大服务给消费者。自动售货机解决了问题。各种生活、覆盖面广的自动售货,效率高,可以24小时不间断运行,容易和方便的位置,改变网站;全职运作,也可以是兼职(副业业务;)作为广告载体,可以使高外块。投资风险低,高收入。把钱商品添加员工只需要做。如此多的优势,自动售货机在世界上被广泛使用。

智能自动售货机自动控制装置通过单片机为核心,它设置键选择技术,单片机技术和显示技术于一体,具有强大的特点功能,可靠性高,使用方便,维护简单等。因此,已广泛应用于商业和生活中。一些智能自动售货机不仅可以自动识别1元,5毛钱,还可以自动识别20元,10元,5元指出,将自动变化,应用人机对话来提高自动售货机在国内大面积推广成为可能。智能自动售货机越来越多的人性化设计将很快占领中国市场

1.2国内外研究现状

(1)发达国家现状

日本:各种自动售货机保有量超过600万台,平均20人一台,世界普及率第一。1990年通过自动售货机实现的销售额即已达到5兆日元(约3000亿人民币)。

美国:总的机器保有量大致和日本相当,2000年通过自动售货机实现的销售额达到250亿美元,其中OCS(Office Coffee Service)的销售额排第六位。

韩国:自动售货机的使用历史要远短于其它发达国家,仅有25 年,但据2001 年的统计,总保有量已超过80万台。在2001年韩国生产的自动售货机中OCS占32.7%,排第一位,咖啡自动售货机占18.1% ,排第三位,仅次于18.6% 的充电自动售货机。瓶罐饮料自动售货机和复合型自动售货机(同机销售瓶罐饮料和热咖啡)都仅占8.6%,并列第四位。

(2)国内现状

保有量约2万台,且品种单一,主要以瓶饮料售货机为主,技术质量还未完全过关,生产和运营成本较高,收益也不高。导致此现状的主要原因是中国有关的自动售货机企业未能有效解决成本,质量,运营等诸多问题。但由于随中国经济的快速发展和社会的巨大进步,自动售货机的实际需求已相当大。

1.3研究目的与意义

1.3研究目的与意义

在自动售货机的产生和发展的过程中,自动售货机的出现是随着科学技术的发展以及人们对生活劳动的感悟的结果。随着生产方式的改变,消费模式的转变,需要一种全新的消费模式来适应人们快节奏的生活方式。超市和百货中心的大量密集造成了人工费用不断上升,场地也受到制约。自动售货机作为一种便利快捷的机器便应运而生了。投入硬币、纸币、信用卡等后便可以销售商品,大大的节约了消费者的时间并且方便了消费者购物满足消费者的好奇新,自动售货机可以充分补充人力资源的不足,适应消费环境和消费模式的变化,更省力,资本少、面积小。

1.4本章小结

本科毕业设计是我对大学所学基础知识以及专业知识的一次综合运用和实践的过程。在电路的设计中,用理论结合实物,发挥自己的动手能力,解决实物制作中的问题,同时也提高我查阅文献资料、电脑绘图例如CAD绘图的巩固提高以及protues设计仿真电路图,还包括我们的基础课程《自动控制原理》,《电力电子》,《单片机应用基础》等。通过这次的设计我学会了通过查阅图书馆的文献资料来弥补自己专业知识以外的不足。

2 系统总体设计

2.1设计要求

自动售货机的设计。

自动售货机是集光、机、电一体化的独立机构,它只需要顾客投币和按购物键选择即可自动售货及退找零钱,其工作程序如下图所示

2.2系统设计方案

方案一:安装接触行程开关

售完检测系统,在自动售货机商品存储存道下方安装有接触行程开关,当存储存道有商品时,压下行程开关,自动售货机正常工作;当商品出售完毕时,行程开关被释放,向CPU发出无货信号,经过检测通过串行扩展接口向相应的售完指示灯发出信号,使机身“售完”指示灯亮,此种商品自动停售,即使投币金额达到该道商品预设价格数值,可售按钮仍然无法启动信号,金额累计存储器也不清零。

2.2.1方案二:红外线对射

在自动售货机内最后一个商品的两侧,放置红外线。当有商品时,商品挡住红外线使其无法对射,自动售货机正常工作;当商品出售完毕时,红外线对射,向CPU发出无货信号,经过检测通过串行扩展接口向相应的售完指示灯发出信号,使机身“售完”指示灯亮,此种商品自动停售,即使投币金额达到该道商品预设价格数值,可售按钮仍然无法启动信号,金额累计存储器也不清零。

1.1 设计要求

设计一台销售袋装小零食的自动售货机,具有硬币识别、币值累加、自动售货等功能。基本要求:

①可识别5角、1元的硬币。并进行累加。②②有货物选择按键,根据选择自动出售对应货物。③具有防盗报警功能。

2.2.2推进设计

方案一:步进电机推进

采用步进电动机控制,步进电动机的精度很高,可实现精确的步距角运动,由其组成的位置控制系统定位准确,稳定时间短,采用单片机控制步进电动机,控制信号为熟悉信号,不再需要数/摸转换,具有快速起停功能,延时短、定位准确、精度高和可操作性强。与驱动控制器匹配使用时,控制起来也十分方便,很容易构成数字位置控制系统。但步进电动机的控制系统相对复杂,价格比直流电动机高。

制步进电机作相应的转动。很明显脉冲的总数决定了移动量,指令的频率决定了移动的速度。因此,指令脉冲可否被可靠的执行,基本上取决于步进电机的性能。三相步进电

机结构图,如图3所示步进电机又叫脉冲电机,它是一种将电脉冲信号转化为角位移的机电数模(D/A)转换器。在开环数字程序控制系统中,输出控制部分常用步进电机作为驱动元器件。步进电机的控制电路接受计算机发来的指令脉冲。

图3 三相步进电机结构示意图

本设计是由单片机直接控制,步进电机可以直接接受的数字信号不需要数/模转换,具有快速起停功能,延时短、定位准确、精度高和可操作性强。与驱动控制器匹配使用时控制起来方便,在销售移动距离较小的商品的时候不会产生误差。步进电机又叫脉冲电机,它是一种将电脉冲信号转化为角位移的机电数模(D/A)转换器。在开环数字程序控制系统中,输出控制部分常用步进电机作为驱动元器件。步进电机的控制电路接受计算机发来的指令脉冲,控制步进电机作相应的转动。很明显脉冲的总数决定了移动量,指令的频率决定了移动的指令脉冲可否被可靠的执行,基本上取决于步进电机的性能。

8051

P

P

P

P

P

P

驱动电路

驱动电路

X轴步进电

Y轴步进电

图4 步进电机与单片机机接口

基于单片机的自动售货机_毕业设计论文

基于单片机的自动售货机 摘要 自动售货机是自动化技术在人们生活中的重要应用。随着人们生活质量的不断提高,对自动售货机的性能要求越来越高。自动售货机的主要功能是通过人机对话的形式完成自动售货这一过程。 本文设计了一款以INTEL公司出品的80C51单片机为核心的自动售货机,并且着重详细地介绍了自动售货机的整体系统设计方案、硬件选择基础、软件使用方法及技巧。以80C51作为CPU处理单元连接各个功能模块;以4*4矩阵键盘作为输入控制模块对货物进行种类和数量的选择以及模拟货币的投入功能;以LCD1602液晶作为显示模块来显示当前的购物状态以及货币状态;以LED的显示来表示当前选择货物以及出货的状态。通过Protues7.5单片机电路原理图进行连接布线连接各个模块;再用Keil uVision3专业编译软件完成源程序编译和调试,最终进行自动售货机的实验电路仿真来模拟自动售货。结合工作原理、系统设计、软件编译和实验仿真来实现自动售货这一相关功能。 关键词自动售货机,80C51单片机,编译仿真

ABSTRACT The automatically vending machine is provided the the the important applications of the automation technology in people's lives. With the continuous improvement of people's quality of life, the increasingly high performance requirements of vending machines. Vending machines The main function of the form of man-machine dialogue vending this process. The designed a produced by Intel 80C51 microcontroller as the core vending machines, and emphasizes the detailed description of the overall system design of the vending machines, hardware options, software and the use of methods and techniques. As 80C51 CPU processing unit connected to the various functional modules; 4 * 4 matrix keyboard as an input control module of goods, the choice of the type and number of input and analog currency; LCD1602 LCD as the display module to display the current state of shopping as well as currency state; LED display indicates the current selection of goods and shipment status. By the Protues7.5 microcontroller circuit diagram connecting wiring each module; complete source code to compile and debug then the Keil uVision3 professional compiler software, eventually vending machine experiment circuit simulation to simulate the automatic vending. Combined with the working principle, system design, software compiler and experimental simulation to achieve automatic vending related functions. Keywords automatic vending machine, 80C51 MCU, compiled simulation

自动售货机控制系统的设计(最终版)

课程设计任务书 设计题目:饮料自动售货机PLC控制系统设计 学院:机械工程学院 专业:机械测控 班级: 姓名: 组员: 指导老师:

【摘要】 本文介绍了自动售货机的基本原理以及工作流程,然后以一次交易过程为例,把交易过程分为几个程序块,然后分别对程序块进行编程。具体说明了可编程序控制器在自动售货机中的作用。程序涉及到了自动售货机工作的绝大部分过程。利用PLC控制的自动售货机提高了系统的稳定性,保证自动售货机能够长期稳定运行。 关键词:自动售货机;可编程序控制器;梯形图 Abstract:This paper introduces the basic principles of vending machines, as well as workflow, and then to a transaction process as an example, the transaction process is divided into several blo ck, and then the block were programmed. Specify the PLC in the r ole of vending machines. Procedures related to the work of the va st majority of vending machine process. PLC control of the use of vending machines enhance the stability of the system to ensure t hat vending machines capable of long-term stable operation. Key words:Vending machines; PLC; Ladder Diagram 一.PLC的概述 1.1 PLC的产生

自动售货机控制系统的设计(已修)

自动售货机控制系统的设计专业:电子信息工程 班级:10级电信(1)班 学号:12010248815 姓名:李浩

1.1系统描述与控制要求 PLC 控制的自动售货机系统主要有三个可以感受到投币量的传感器,六个按钮开关(分别为启动按钮、停止按钮、退币按钮、汽水选择按钮、花茶选择按钮和咖啡选择按钮)三个指示灯,(分别为汽水指示灯,花茶指示灯和咖啡指示灯),和两个七段数码管。传感器用来接收投币信号,传送投币量的多少;按钮开关分别对系统功能进行控制,当投入的钱币够某一种饮料时,按下这种饮料的选择按钮时才会有饮料送出,有剩余钱币时,还可以通过按下退币按钮来找回剩余钱币;三个指示灯分别用来只是哪种饮料可以选择,只有投币量大于或等于这种饮料的价格时,这种饮料的指示灯才会亮;同时,还有两个七段数码管,用于显示当前剩余的总钱币。具体对自动售货机的控制要求如下: 1.自动售货机有3 个投币孔,分别为1 元、5 元和10 元。 2.售货共有3种饮料供选择,分别为汽水、花茶和咖啡。 3.如投币总额超过销售价格,将可由退币钮找回余额。 4.投币总额或当前值显示在7段数码管上。 5.投币值等于或大于12 元时,汽水指示灯亮,表示只可选择汽水。 6.投币值等于或大于15元时,汽水和花茶指示灯亮,表示可选择汽水和茶。 7.投币值等于或大于20元时,汽水、花茶和咖啡指示灯亮,表示3 种均可选择。 8.按下要饮用的饮料按钮,则相对应的指示灯开始闪烁,3s 后自动停止,表示饮料已经掉出。 9.动作停止后按退币钮,可以退回余额,退回金额如果大于10元,则先退10元再退1 元,如果小于10元则直接退 1 元的。 1.2控制工艺分析 自动售货机的基本功能就是对投入的钱币数进行计算, 然后根据运算结果做出相应的判断,看看哪种商品可以进行购买,哪种商品不能购买,本次课程设计的要求是可识别三种钱币,分别是 1 元,5元,10 元,采用三个按钮进行模拟, 自动售货机共出售3 种货物,其价格分别定为12 元,15元,20元,当投币数大于等于货物价格时,该种货物的指示灯亮,表示可以进行购买,3 种货物分别用3个信号灯进行指示.除此之外,本次设计还涉及了显示,找零等功能的实现, 其中显示部分采用数码管进行显示, PLC的外围接口由两个CD4511 连接两个数码显示管,以显示投币总数和购买后的余额;当按下找零按钮后,数码管显示进行清零。整个系统由三个可以感受到投币量的传感器,六个按钮开关,三个指示灯,和两个七段数码管和两片CD4511组成。

自动售货机控制系统设计

综合课程设计报告书 自动售货机控制系统设计 题目: 学院:机械与电气工程学院 专业:电气工程及其自动化 班级:13级2班 姓名:付少官 学号: 指导教师:崔茂齐 教师职称:讲师 2016年6月29日

机械与电气工程学院综合课程设计任务书 专业:电气工程及其自动化班级:2013-2 姓名:付少官 自动售货机控制系统设计 (1) 摘要 (1)

Abstrct (1) 1、绪论 (1) 2、自动售货控制系统设计总体方案 (2) 元器件选择 (2) 开发工具 (2) 3、自动售货控制系统硬件设计 (3) 硬件总体框图 (3) AT89C51单片机模块(介绍本次设计所到的功能) (3) (3) (4) 本次所应用的管脚说明 (4) 单片机驱动模块 (5) 选择按键模块 (5) (6) (6) 系统显示模块 (6) 数码管显示器 (6) 显示器的驱动电路 (7) 出货以及退币模块 (8) 4、自动售货控制系统软件设计 (8) 系统流程图 (8) 元器件计算 (9) 5、系统总电路图及调试仿真 (10) 总电路图 (10) 系统调试 (11) 系统仿真 (11) 总结及致谢 (14) 参考文献 (15) 附录 (16) 附录1、元件清单 (16) 附录2、源程序 (16) 附录3、自动售货机控制系统protel99se原理图 (23)

自动售货机控制系统设计 付少官 摘要本文详细介绍了自动售货机系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原则和技巧。该系统以单片机AT89C51芯片为核心,采用集中控制方式实现了对自动售货机全过程的自动控制。本系统选择独立式键盘按键作为货物选择端,实现了投币总数的显示功能。此外,系统程序采用keil软件进行程序的编写和编译,该软件具有编程简单、查错方便、阅读容易等特点。汇编语言是程序的基本语言,具有容易理解,便于记忆和使用等特点。 关键词:自动售货机,AT89C51,LCD显示 Abstrct T his paper describes a vending machine system design, hardware selection, software planning and preparation, and describes the focus of the work vending machine system theory, system design, software programming principles and techniques. AT89C51in the system as the core chip, the realization of a centralized control of the vending machine's automatic control the entire process. Choice of the system as a stand-alone keyboard keys to choose the goods side, the realization of the coin shows the total number of features. In addition, the system program using keil software to prepare and compile procedures, the software programming is simple, convenient error checking, easy to read and so on. Assembly language is the basic language program with easy to understand, easy to remember and use and so on. Key words:Vending Machines,AT89C51,LED Display 1、绪论 自动售货机是一种全新的商业零售形式,从自动售货机的发展趋势来看,它的出现是由于劳动密集型的产业构造向技术密集型社会转变的产物。大量生产、大量消费以及消费模式和销售环境的变化,要求出现新的流通渠道;而相对的超市、百货购物中心等新的流通渠道的产生,人工费用也不断上升;再加上场地的局限性以及购物的便利性等这些因素的制约,无人自动售货机作为一种必须的机器便应运而生了。 随着科技的发展及人们生活水平的提高,自动售货机市场越来越呈现出多元化及个性化的需求。人们通过自动售货机自助购物,这种简单、快捷的方式正逐渐成为市民的一种新的消费时尚,并且满足了人们在当今科技高速发展的现代社会追求高品质生活的需要。自动售货机在城市商业区、写字楼大厅、风景区、车站、码头、繁华街道等公共场所的布设能够美化城市环境,方便群众生活,它已经成为城市各角落的一道亮丽风景线。 自动售货机必将在国内普及,并成为城市现代文明程度的一种象征性标志。本文详细介绍了如何控制、要求进行自动售货机系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原则和技巧。

自动售货机控制系统的设计

课程设计题目:自动售货机控制系统的设计 目的与任务: (1)进一步掌握MAX+PLUSⅡ软件的使用方法; (2)会使用VHDL语言设计小型数字电路系统; (3)掌握应用MAX+PLUSⅡ软件设计电路的流程; (4)掌握自动售货机的设计方法; (5)会使用GW48实验系统。 内容和要求: 设计一个简易的自动售货机,它能够完成钱数处理、找零、显示、退币等功能。 (1)用3个键表示3种钱,再用3个键表示3种物品。 (2)用2个数码管显示输入的钱数,再用2个数码管显示所找的钱数,以元为单位。 (3)买东西时,先输入钱,用数码管显示钱数,再按物品键,若输入的钱数大于物品的价格,用数码管显示所找的钱数,并用发光二极管表示购买成功。 (4)若输入的钱数少于物品的价格,用数码管显示退出的钱数,并用发光二极管表示购买失败。

设计内容(原理图以及相关说明、调试过程、结果) 一、系统设计方案 根据系统要求,系统的组成框图如图1所示。 图1 系统组成框图 系统按功能可分为分频模块、控制模块和译码输出模块。 (1)分频模块的作用是获得周期较长的时钟信号,便于操作,且不会产生按键抖动的现象。其原理是定义两个中间信号Q、DIV_CLK,Q在外部时钟CLK的控制下循环计数,每当计数到一个设定的值时DIV_CLK的值翻转,最后将DIV_CLK赋给NEW_CLK即可,改变设定值可改变分频的大小。 (a2)控制模块是这个系统的核心模块,它具有判断按键、计算输入钱数总和、计算找零、控制显示四个作用。它的工作原理是每当时钟上升沿到来时,判断哪个按键按下,

若按下的是钱数键,则将钱数保存于中间信号COIN,若下次按下的仍是钱数键,COIN 的值则加上相应的值并显示于数码管;当物品键按下时,则将COIN的值与物品价格进行比较,然后控制找零。 (3)由于钱数可能大于9,所以译码显示模块的作用就是将钱数译码后用两个数码管显示,这样方便观察。 根据各个功能模块的功能并进行整合,可得到一个完整的自动售货机系统的整体组装设计原理图,如图2所示。 图2 设计原理图 二、系统主要VHDL源程序 (1)分频器的源程序(外部时钟选用3MHz,实现3万分频) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY CLKGEN IS PORT(CLK:IN STD_LOGIC; NEWCLK:OUT STD_LOGIC); END CLKGEN;

单片机课程设计—自动售货机

中南大学 《微控制器应用系统综合设计》课程设计报告 设计题目 指导老师 设计者 专业班级 设计日期

目录

第一章微控制器应用系统综合课程设计的目的意义 (1) 1.1 设计目的 (1) 1.2课程在教学计划中的地位和作用 (1) 第二章自动售货机设计任务 (3) 2.1 设计内容及要求 (3) 2.2 课程设计的要求 (3) 2.2.1 控制要求 (3) 2.2.2 设计要求 (3) 第三章系统的硬件设计与选型 (4) 3.1 总体设计思想与选型 (4) 3.2 硬件设计方案 (5) 3.3 硬件设计概要 (5) 3.4 硬件设计系统原理图 (6) 3.5 选型芯片及其各自功能说明 (7) 3.5.1 89C51的引脚与功能简介 (7) 3.5.2 8155的引脚与功能简介 (10) 第四章软件设计 (15) 4.1 软件系统的设计方案概要 (15) 4.2 源程序流程图及其说明 (15) 4.2.1 主程序流程图及其说明 (15) 4.2.2 装货子程序流程图及其说明 (17) 4.2.3 投币子程序流程图及其说明 (17) 4.2.4 货物选择子程序流程图及其说明 (18) 4.2.5 显示子程序流程图及其说明 (19) 4.2.6 中断子程序流程图及其说明 (20) 第六章系统调试及使用说明 (22)

5.1 系统使用说明 (22) 5.2 系统调试 (22) 收获、体会 (24) 附录系统源程序 (25) 参考文献 (35)

第一章微控制器应用系统综合课程设计的目的意义 1.1 课程设计目的 《微控制器应用系统综合设计》是为测控专业《微控制器技术》课程而开设的课程设计教学环节,其目的在于培养学生综合运用理论知识来分析和解决实际问题的能力,是通过设计以微控制器为核心的单片机检测系统,加深学生对微控制器技术的了解,进一步掌握其程序设计与硬件接口技术。 本课程的主要任务是运用所学微控制器技术、微机原理等方面的知识,设计出一台以80C32MCU为核心的单片机数据采集、通讯或测控系统,完成信息的采集、处理、输出及人机接口电路等部分的软、硬件设计。 1.2 课程设计在教学计划中的地位和作用 《微控制器原理及应用》是一门技术性、应用性很强的学科,实验课教学是它的一个极为重要的环节。不论是硬件扩展、接口应用还是编程方法、程序调试,都离不开实验课教学。如果不在切实认真地抓好学生的实践技能的锻炼上下功夫,单凭课堂理论课学习,势必出现理论与实践脱节,学习与应用脱节的局面。任随书本上把单片微机技术介绍得多么重要、多么实用、多么好用,同学们仍然会感到那只是空中楼阁,离自己十分遥远,或者会因此而对它失去兴趣,或者会感到它高深莫测无从下手,这些情况都会令课堂教学的效果大打折扣。 《微控制器原理及应用》课程设计的目的就是让同学们在理论学习的基础上,通过完成一个涉及MCS-51单片机多种资源应用并具有综合功能的小系统目标板的设计与编程应用,使学生不但能够将课堂上学到的理论知识与实际应用结合起来,而且能够对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高。使学生增进对单片机的感性认识,加深对单片机理论方面的理解。使学生掌握单片机的内部功能模块的应用,如定时器/计数器、

-自动售货机的设计

安康学院FPGA/SOPC课程设计报告书 课题名称:自动售货机的设计 姓名: 学号: 院系:电子与信息工程系 专业: 指导教师: 时间:

课程设计项目成绩评定表设计项目成绩评定表

课程设计报告书目录 设计报告书目录 一、设计目的 (1) 二、设计思路 (1) 三、设计过程 (1) 3.1、系统方案论证 (1) 3.2、模块程序设计 (2) 3.3、各单元模块功能介绍及电路设计 (4) 四、仿真结果 (6) 4.1、设计电路图 (6) 4.2、程序生成的原理图 (7) 4.3、仿真图 (8) 五、对应变量的管脚配置表 (8) 六、课程设计体会与建议 (9) 6.1、设计体会 (9) 6.2、设计建议 (10) 七、参考文献 (10)

一、设计目的 1)熟悉学习QuartusII的编程与调试方式,学会在QuartusII中使用波形图进行软件仿真,并使用EDA6000进行硬件仿真与调试。 2)设计一个自动售货机,具有投币和选择商品等功能 二、设计思路 1、售货机模块:该模块能完成货物信息的存储,硬币处理,余额计算。 2、动态扫描模块:功能主要是硬件实验板上让两路信号同时在两个7段数码管上显示出来。 3、输出控制模块:该模块主要是控制OUT和OUT1输出信号来控制阀门打开与找钱,并且控制阀门的打开时间。 设计思路:控制信号输入到售货机模块,售货机进行各项功能操作输出信号在7段数码管 三、设计过程 3.1、系统方案论证 3.1.1、自动售货机系统组成框图 图1 系统组成框图 3.1.2工作原理 1)设计一个自动售货机,此机能出售1元、2.5元、3元、3.5元的四种商品。出售哪种商品可有顾客按动相应的按键即可,并同时用数码管显示售出的是

自动售货机的设计论文

自动售货系统 摘要:提出并实现一套基于单片机控制步进电机的自动售货系统。其主要目的是为当前的自动售货机系统提出一种可行的改进方案,扩展其功能,系统主要是由三部分组成:步进电机、51单片机、以及中间的接口电路,可以使自动售货机的功能更加完善,更加有利于自动售货机的多样化发展。 关键词:驱动电路,单片机,步进电机,OCS Abstract:Put forward and realize a set of auto selling machine system according to the single-chip microcomputer control stepper motors .Its main purpose is to put forward a kind of viable improvement project, expanding its function for current auto selling machine system .the system primarily is composed of three parts: stepper motors ,single-chip microcomputer, and connecting circuit in them which can make the function of auto selling machine more perfect, is benefit to have more diverse uses of auto selling machine. Keyword: the driving circuit, single-chip microcomputer , Office Coffee Servic e

基于单片机的自动售货机设计

基于单片机的自动售货机设计 概括介绍了自动售货机的现状,针对电子创新实验室电子元件管理难题提出了一种能够自动管理与售卖电子元件的自动售货机的设计思路!给出了电子 元件自动售货机设计方案。首先研究了电子元件自动售货机的总体设计方案,分析了设计要求,接着设计了售货机的功能模块,最后给出了控制程序的设计方法。经过测试,该程序运行稳定符合设计要求。本文介绍了以AT89C51 单片机为核心的自动售货机的设备控制系统的设计。详细介绍了自动售货机系统的方案设计,硬件选型,软件的工作原理,并着重介绍了原理和技巧,自动售货机的系统设计,软件编程。该系统以AT89C51单片机为核心,采用集中控制方式实现自动售货机的全过程自动控制。使用汇编语言编写系统程序和C语言软件编程和编译,该软件具有编程简单的特点,方便检查错误,易于阅读,所以。汇编语言是一种基本的语言程序,简单易懂,便于记忆和使用等。 关键词:自动售货机;单片机;控制器;显示屏;AT89C51

1 绪论 1.1研究背景 随着经济的发展,传统的固定地点人员销售模式暴露了许多缺点:人力资源需求;所需的服务位置,地理条件;而不是服务时间的最大服务给消费者。自动售货机解决了问题。各种生活、覆盖面广的自动售货,效率高,可以24小时不间断运行,容易和方便的位置,改变网站;全职运作,也可以是兼职(副业业务;)作为广告载体,可以使高外块。投资风险低,高收入。把钱商品添加员工只需要做。如此多的优势,自动售货机在世界上被广泛使用。 智能自动售货机自动控制装置通过单片机为核心,它设置键选择技术,单片机技术和显示技术于一体,具有强大的特点功能,可靠性高,使用方便,维护简单等。因此,已广泛应用于商业和生活中。一些智能自动售货机不仅可以自动识别1元,5毛钱,还可以自动识别20元,10元,5元指出,将自动变化,应用人机对话来提高自动售货机在国内大面积推广成为可能。智能自动售货机越来越多的人性化设计将很快占领中国市场 1.2国内外研究现状 (1)发达国家现状 日本:各种自动售货机保有量超过600万台,平均20人一台,世界普及率第一。1990年通过自动售货机实现的销售额即已达到5兆日元(约3000亿人民币)。 美国:总的机器保有量大致和日本相当,2000年通过自动售货机实现的销售额达到250亿美元,其中OCS(Office Coffee Service)的销售额排第六位。 韩国:自动售货机的使用历史要远短于其它发达国家,仅有25 年,但据2001 年的统计,总保有量已超过80万台。在2001年韩国生产的自动售货机中OCS占32.7%,排第一位,咖啡自动售货机占18.1% ,排第三位,仅次于18.6% 的充电自动售货机。瓶罐饮料自动售货机和复合型自动售货机(同机销售瓶罐饮料和热咖啡)都仅占8.6%,并列第四位。 (2)国内现状 保有量约2万台,且品种单一,主要以瓶饮料售货机为主,技术质量还未完全过关,生产和运营成本较高,收益也不高。导致此现状的主要原因是中国有关的自动售货机企业未能有效解决成本,质量,运营等诸多问题。但由于随中国经济的快速发展和社会的巨大进步,自动售货机的实际需求已相当大。

基于VHDL的自动售货机的设计与实现

自动售货机实验报告 一、设计任务 设计一个自动售货饮料机,设每瓶饮料2.5元,投币口有两个,1元硬币(one)或5角硬币(half),每个时刻可以输入其中一种硬币,自动售货机有找零钱功能,oute为出货,outm为找零。 二、设计过程 1.设计思路: 状态定义:s0表示初态,s1表示投入5角,s2表示投入1元,s3表示投入1元5角,s4表示投入2元,s5表示投入2元5角,s6表示投入3元。 输入信号:state_outputs(0)表示输入货物,comb_outputs(1)表示找5角硬币,输入信号为1 表示投入硬币,输入信号为1表示未投入硬币。 输出信号:comb_outputs(0)表示输出货物,comb_outputs(1)表示找5角零钱,输出信号为1表示输出货物或找零,输入信号为0表示不输出货物或找零。 根据设计要求分析,得到状态转化图如下图所示,其中状态为s0、S1、S2、S3、S4、S5、S6;输入为state_inputs(0,1);输出为comb_outouts(0,1);输入仅与状态有关,因此将输入写在状态圈内部。

2.设计步骤: (1)创建工程。打开QuartusII ,创建一个新的工程并命名。根据实验室条件,选择的芯片为cyclone 系列中的EP1C6Q240C8芯片。 00

输入VHDL文本文件。新建文本文件VHDL file。输入程序并保存。程序如下:library ieee; use ieee.std_logic_1164.all; entity autosell is port(clk,reset :in std_logic; state_inputs:in std_logic_vector(0 to 1); comb_outputs:out std_logic_vector(0 to 1)); end autosell; architecture be of autosell is type fsm_st is(s0,s1,s2,s3,s4,s5,s6); signal current_state,next_state:fsm_st; begin reg:process(reset,clk) begin if reset='1'then current_state<=s0; elsif rising_edge(clk)then

毕业论文-----答辩稿-----PLC自动售货机

各位老师,上午好!我叫---,来自--级自动化-班,我的论文题目是无人售货机的自动控制系统,论文是在---老师的悉心指点下完成的,在这里我向我的老师表示深深的谢意(鞠躬),向各位老师不辞辛苦参加我的论文答辩表示衷心的感谢(鞠躬)。下面我将本论文设计的目的、研究意义和主要框架及各部分的主要内容向各位老师作一汇报,恳请各位老师批评指导。 首先,我想谈谈这个毕业论文设计的目的及意义。 作为PLC应用的一部分,PLC控制系统对自动售货机进行控制,具有无法比拟的优点,它极大地提高了系统的稳定性,保证了自动售货机能够长期稳定效率的运行。因此,PLC控制的售货机有着广泛的市场前景和实际的应用价值。这就是我为什么要选择该课题的原因。 其次,我想谈谈这篇论文的基本结构和主要内容。 本文分成五个部分. 第一部分是绪论。 这部分论述本设计研究的目的和意义,并介绍了自动售货机的国内外发展情况和采用PLC控制售货机的原因。 第二部分是PLC的概述。 这部分主要介绍PLC的定义、特点、产生、发展、可实现的功能和基本结构组成及各部分的作用,还介绍了PLC的工作原理及其机型和容量的选择。 第三部分是自动售货机的总体方案设计。 这部分主要介绍了本售货机研究设计的总体方案,通过对自动售货机的功能和整体结构的研究,设计出了本设计的基本思想和设计的主要内容,及自动售货机设计的一般步骤。 第四部分是PLC控制自动售货机的硬件设计。 这部分介绍自动售货机硬件设计的研究,通过分析PLC控制系统硬件设计的基本内容和基本原则设计了本售货机研究的主要硬件系统的组成和I/O模块的选择,并设计出了自动售货机PLC硬件控制电路。 第五部分是PLC控制自动售货机的软件设计。 这部分介绍售货机研究的软件设计,通过对售货机控制过程的各个子系统的研究及控制系统总体的软件设计的研究和定时器的分析,进一步完成了自动售货机的总体研究。 最后,我想谈谈这篇论文和系统存在的不足。

基于单片机的自动售货机的系统设计

摘要 本文设计了一种以STC89C52单片机为核心,采用集中控制方式实现了对自动售货机全过程的自动控制。本系统选择3×3矩阵式键盘作为控制按键,实现货物的掉出、退币以及货币数的LCD显示等功能。 关键词:STC89C52,自动售货机,键盘控制,LCD显示

Design of automatic system of vending machine based on Microcontroller Abstract This paper introduces a design of STC89C52 microcontroller as the core, adopts the centralized control method to realize the automatic control of the whole process of automatic vending machine. In this system, 3 * 3 matrix keyboard as the control button, realize the goods out of, the coin and currency number LCD display and other functions. Keywords:STC89C52, vending machine, keyboard control,LCD display

目录 1绪论 (1) 2 自动售货机系统总体设计方案 (2) 2.1 工作原理 (2) 2.2 项目要求 (3) 2.2.1 硬件设计要求 (3) 2.2.2 软件设计要求 (4) 3 控制系统的硬件电路设计 (5) 3.1 硬件设计概述 (5) 3.2 微控制器 (5) 3.3 货币识别系统 (6) 3.3 LCD显示系统模块 (8) 3.4 提示程序模块 (9) 4 控制系统的软件设计 (10) 4.1软件设计概述 (10) 4.2 主程序 (10) 4.3 键盘程序设计 (12) 4.4 字符型液晶显示模块程序设计 (13) 4.5 提示模块程序设计 (16) 5 结论 (18) 致谢 (19) 参考文献 (20) 附录1 主函数程序 (21) 附录2 TC1602AL显示程序 (24) 附录3 提示程序 (27) 附录4 总原理图 (28)

基于PLC的自动售货机的设计说明

一、实训题目: 自动售货机控制 实训目的及要求: 1、掌握欧姆龙PLC的指令,具有独立分析和设计程序的能力 2、掌握PLC梯形图的基本设计方法 3、培养分析和解决实际工程问题的能力 4、培养程序设计及调试的能力 5、熟悉传输带控制系统的原理及要求 实训设备:PLC设备器 二、总体方案设计 自动售货机设计中,从控制角度来说可采用继电器控制、单片机控制和可编程控制器控制。 (一)方案论证 1.方案一继电器控制 它的控制思想是以继电器为核心,采用硬接线方式把各种继电器、定时器、接触器及其触点按照一定逻辑关系连接起来组成控制系统,控制相关生产机械工作。例如要通过接触器实现两台电机设备的同步运行,采用继电器控制,其控制系统简图如图1。 SF1 KF 电电 源源 母母 线线 图1 继电器控制系统简图

由图可知它的三条支路是并行工作的,当按下按钮SF1,中间继电器KF得电,KF的两个触点闭合,接触器QA1、QA2同时得电并产生动作,所以其控制逻辑关系为由继电器、接触器硬接线(硬件)方式。 2.方案二单片机控制 它的控制系统是基于芯片级的系统,设计开发一个单片机系统,需要先设计硬件系统,画硬件电路图,制作印刷电路板,购置各种所需的电子元器件,焊接电路板,进行硬件调试,进行抗干扰设计和测试的大量工作;需要使用专门的开发装置和低级编程语言编制控制程序,进行系统联调。 3.方案三可编程控制器控制 它的控制思想是采用可编程的存储器,用来在其部存储逻辑运算、顺序控制、定时、计数和算术运算等操作的指令,并通过数字式和模拟式的输入和输出,控制各种类型机械的生产过程。PLC是一种工业控制计算机,故它的工作原理是建立在计算机工作原理基础之上,即通过执行反映控制要求的用户程序来实现的,这种方案的控制功能接线图如图2。

自动售货机PLC控制设计方案

自动售货机PLC控制设计方案1.可编程逻辑控制器简介 可编程逻辑控制器(Programmable Logical Controller),简称PLC,是综合了计算机技术、自动控制技术和通讯技术而发展起来的一种新型的工业控制装置,它具有可靠性高、编程简单、维护方便等优点,已在工业控制领域得到广泛的应用。因为自动售货机作为社会上完成商品零售和综合服务的独立设施,要求它的结构必须可靠、稳定和执行效率高。 PLC是以CPU为核心,综合了计算机技术和通信技术发展起来的一种通用的工业自动控制装置,是现代工业控制的三大支柱(PLC 、机器人和CAD / CAM )之一。目前,可编程控制器在国外已广泛应用于钢铁、石油、化工、电力、建材、机械制造、汽车、轻纺、交通运输、环保等各行各业。 PLC的发展与计算机技术、半导体技术、控制技术、数字技术、通信网络技术等高新技术的发展息息相关,这些高新技术的发展推动了PLC的发展,而PLC的发展又对这些高新技术提出了更高更新的要求,促进了他们的发展。本次设计就在于结合PLC的种种优点联系实际情况来弥补原有系统的不足,利用PLC控制的自动售货机提高了系统的稳定性,保证了自动售货机能够长期稳定的运行。

2.自动售货机简介 自动售货机最早出现在二十世纪五、六十年代的西欧,其中英国是较早实行自动售货机售货的国家之一。1942年,在食品销售中首先推广了自动售货的销售方式。1950年,英国食品杂货行有500家采用自动售货机售货。1969年,采用自动售货机售货的商家增加到23000家,销售的商品扩展到唱片、文化用品、食品、香烟等多个方面。进入70年代后,约有40多万家香烟、饮料店采用自动售货机。1980年英国有50余万台自动售货机,年销售额达8.81亿英镑。70年代后,日本、欧美等发达国家和地区自动售货机迅猛的发展,短短30年,发达国家自动售货机产业已发展到相当大规模。自动售货机被广泛地布放于车站、油站、机场、码头、写字楼、宾馆、娱乐场所及大街小巷和公路旁,人们通过自动售货机可以买到食品、香烟、报纸、饮料、票、卡甚至鲜花和小宠物等物品。 自动售货机实现了商品需求化、性能多样化的发展,原先其只能出售有限商品品种,而如今呈现了继百货公司、超级市场、便民店之后,以消费者与售货机“一对一”自动售货的无店铺销售状态。 目前自动售货机是集声、光、机电一体化的高新智能化产品,在我国也开始得到应用。在中国人们可以看到现代化的自动售货机摆放在一些大商场门口、繁华街道两旁、公园入口处以及其它热闹的场所。自动售货机的新奇、文明、高档、昼夜服务、占地小、灵活方便深受许多地区市民的青睐,甚至出现许多排队购买的现象。专家测算,中国的自动售货机在市场容量最保守算应该有四十七万台,一年的销售额可达三百

基于PLC自动售货机的系统设计(精)

I 基于 PLC 的自动售货机系统设计 摘要 本论文基于西门子 S7-200 系列 PLC 进行自动售货机控制系统的设计,阐述了自动售货机系统设计的基本原理及工作流程,然后以一次交易过程为例,把交易过程分为几个程序块,分别对程序块进行编程。在 PLC 梯形图的编写时,文中采用的是西门子 PLC 梯形图专用编译软件 STEP7来进行编写,并完成了 PLC 外部接线图。利用 PLC 控制的自动售货机提高了系统的稳定性,保证自动售货机能够长期稳定运行。 关键词 :PLC ;自动售货机;控制系统 1、格式存在错误,如段前段后间距、字体大小等等 2、整个文章太简单,基本上没什么内容,可以适当填充 3、总结有错 4、参考文献有错 The System Design for Automatic Vending Machine Based on PLC Tutor: Guilin liu II Abstract Professional Electrical automation 2008 No.200812713 Name :wei yuan The design of this paper is based on Siemens S7-200 series automatic vending machine PLC control system, explains the vending system design principle and workflow, and then to a course of dealing, for example, the transaction process is divided into several blocks on blocks, respectively. In the preparation of the PLC ladder diagram, the text is a Siemens PLC

基于单片机的自动售货机逻辑电路设计

基于单片机的自动售货机逻辑电路设计 发表时间:2019-07-05T14:59:32.447Z 来源:《教育学文摘》2019年8月总第308期作者:赵丹[导读] 自动售货机这种新颖的无人零售方式已逐渐被人们所接受和信赖。日照市技师学院山东日照276800 摘要:本文简述了单片机控制的自动售货机系统的设计,本文阐述了系统硬件电路设计、软件程序设计流程图,硬件电路是由键盘电路和显示电路组成,其中键盘电路采用了4*4的矩阵式的键盘与89S51单片机的接口相连构成,通过键盘电路来选择你要购买的物品;显示电路采用了74LS164 和数码管构成的串行口显示电路构成,通过显示电路显示。 关键词:自动售货机键盘显示自动售货机这种新颖的无人零售方式已逐渐被人们所接受和信赖。自动售货机的普及在某种程度上能反映一个国家的经济发展水平,是一个国家繁荣程度的象征。 一、系统设计 1.硬件设计。系统框图包括:控制器键盘电路、复位电路、保护电路、Money键盘输入电路、显示电路(如图1)。 图2:电源电路(2)键盘电路的设计。键盘是按键或开关的有序组合。按照工作原理的不同,键盘可分为编码键盘和非编码键盘。编码键盘是由硬件完成的,非编码键盘是由软件完成的。非编码键盘有独立式键盘和矩阵式(行列式)键盘两种。键盘电路的主要工作步骤如下:①判别键盘上是否有按键按下。②如果有键按下,则应对其进行扫描,判别是哪一个键按下。③去抖动常采用延时程序。④读取闭合按键的特征值(行和列的位置编码)。⑤对特征值进行译码,获得按键的相应顺序号,而后再按各键的实际定义去执行相应的服务程序。⑥应采用双键锁定或N键轮回解决双键同时按下的问题。根据对键盘的了解,本设计所采用的是4*4的矩阵式键盘与89S51的P1口相连,通过扫描键盘来选择自己要购买的物品。(3)显示电路的设计。在单片机系统中,通常用LED数码显示器来显示各种数字或符号。由于它具有显示清晰、亮度高、使用电压低、寿命长的特点,因此使用非常广泛。本设计采用3片74LS164首尾相串,而时钟端则接在一起,这样,当输入8个脉冲时,从单片机RXD端输出的数据就进入到了第一片74LS164中了,而当第二个8个脉冲到来后,这个数据就进入了第二片74LS164,而新的数据则进入了第一片74LS164,这样,当第六个8个脉冲完成后,首次送出的数据被送到了最左面的164中,其他数据依次出现在第一、二、三片74LS164中。 2.软件设计。 (1)系统设计原理:

相关主题
文本预览
相关文档 最新文档