当前位置:文档之家› VLSI版图设计报告

VLSI版图设计报告

VLSI版图设计报告
VLSI版图设计报告

北京工业大学VLSI版图设计-1

设计报告

姓名:

学号:

2014年4月

目录

目录

目录 (1)

1绪论 (2)

1.1集成电路的前世今生 (2)

1.1.1集成电路出现 (2)

1.1.2集成电路的现状 (2)

1.2电子线路版图设计 (3)

1.2.1CAD发展现状 (3)

1.2.2EDA工具Zeni简介 (4)

1.2.3EDA工具Aether简介 (4)

1.2.4集成电路设计流程 (4)

2电路设计 (5)

2.1运算放大器 (5)

2.1.1工作原理 (5)

2.1.2电路设计及仿真 (6)

2.2D触发器 (7)

2.2.1反相器模块 (7)

2.2.2或非门模块 (8)

2.2.3传输们模块 (9)

2.2.4合并起来的总模块 (10)

3版图设计 (13)

3.1集成电路版图设计基础 (13)

3.1.1版图设计 (13)

3.1.2版图验证 (13)

3.1.3版图后仿真与数据提交 (14)

3.2基于Zeni下反相器的版图与验证 (14)

3.3基于Aether下的版图设计及验证 (15)

3.3.1运算放大器的版图设计及验证 (15)

3.3.2D触发器各组成单元的版图与验证 (15)

3.3.3D触发器的完整版图与验证 (17)

4总结与体会 (19)

5D触发器版图 (20)

参考文献 (21)

1 绪论

随着晶体管的出现,集成电路随之产生,并极大地降低了电路的尺寸和成本。而由于追求集成度的提高,渐渐设计者不得不利用CAD工具设计集成电路的版图,这样大大提高了工作效率。在此单元中,我将介绍集成电路及CAD发展现状,本次课设所用EDA工具的简介以及集成电路设计流程等相关内容。

1.1 集成电路的前世今生

1.1.1集成电路出现

集成电路(英语:integrated circuit, IC)、或称微电路(microcircuit)、微芯片(microchip)、芯片(chip)在电子学中是一种把电路(主要包括半导体设备,也包括被动组件等)小型化的方式,并通常制造在半导体晶圆表面上。

二十世纪中期前,在爱迪生效应的启发下,一些科学家发明了真空二极管、三极管,接着真空管得到了一些实际的应用,但是由于其体积巨大,不利于大规模电路的应用,而随着时代的发展,大规模电路的出现成为了必然,于是人们寻找另一种体积小又与电子管功能一样的器件。1947年,约翰·巴丁、沃尔特·布喇顿和威廉·肖克利终于发明了晶体管,其中巴丁、布喇顿主要发明半导体三极管;肖克利则是发明PN二极管。晶体管被认为是现代历史中最伟大的发明之一,在重要性方面可以与印刷术,汽车和电话等发明相提并论。晶体管在当今社会的重要性主要是因为晶体管可以使用高度自动化的过程进行大规模生产的能力,因而可以不可思议地达到极低的单位成本。

晶体管发明并大量生产之后,其得到了大量的应用,从而取代了体积庞大的真空管在电路中的功能和角色。与真空管相比,晶体管的体积明显缩小,而且速度也和快,功耗也比较低。因为晶体管的低成本和后来的电子计算机,数字化信息的浪潮来到了。由于晶体管体积较小,一些科学家想到了将其集成在一个电路上或者一块硅片上的思想。随着20世纪中后期半导体制造技术进步,1958年杰克?基尔比发明了第一个集成电路。其中包括一个双极性晶体管,三个电阻和一个电容器,相较于现今科技的尺寸来讲,体积相当庞大。虽然如此,但是它的出现也是具有重要的意义的。

1.1.2集成电路的现状

从杰克·基尔比发明了第一个集成电路到如今,集成电路的发展在摩尔定理的引导下已经发展到了一个新的高度,电子电路的设计越来越趋向于小型化和高速化,越来越多的应用已经由复杂的模拟电路转化为简单的数字逻辑集成电路。根据一个芯片上集成的微电子器件的数量,集成电路可以分为以下几类:小规模集成电路(SSI 英文全名为Small Scale Integration, 逻辑门10个以下或晶体管100个以下)、中规模集成电路(MSI 英文全名为Medium Scale Integration, 逻

辑门11~100个或晶体管101~1k个)、大规模集成电路(LSI 英文全名为Large Scale Integration, 逻辑门101~1k个或晶体管1,001~10k个)、超大规模集成电路(VLSI 英文全名为Very large scale integration, 逻辑门1,001~10k个或晶体管10,001~100k个)、甚大规模集成电路(ULSI 英文全名为Ultra Large Scale Integration, 逻辑门10,001~1M个或晶体管100,001~10M个)。而根据处理信号的不同,可以分为模拟集成电路、数字集成电路、和兼具模拟与数字的混合信号集成电路。

仅仅在其开发后半个世纪,集成电路变得无处不在,电脑,手机和其他数字电器成为现代社会结构不可缺少的一部分。这是因为,现代计算,交流,制造和交通系统,包括互联网,全都依赖于集成电路的存在。甚至很多学者认为有集成电路带来的数字革命是人类历史中最重要的事件。IC的成熟将会带来科技的大跃进,不论是在设计的技术上,或是半导体的制程突破,两者都是息息相关。

最先进的集成电路是微处理器或多核处理器的核心,可以控制电脑到手机到数字微波炉的一切。存储器和特定应用集虽然设计开发一个复杂集成电路的成本非常高,但是当分散到通常以百万计的产品上,每个集成电路的成本最小化。集成电路的性能很高,因为小尺寸带来短路径,使得低功率逻辑电路可以在快速开关速度应用。成电路是其他集成电路家族的例子,对于现代信息社会非常重要。

“集成电路中的晶体管数量,每1.5年增加一倍。”从戈登·摩尔提出这个定理之后,集成电路基本上按照这个趋势进行,但是随着集成度的提高,集成电路的发展必定会遇到瓶颈。目前集成电路发展道路上存在的一些亟待攻克的问题:器件物理极限问题,光刻工艺问题,互连线限制问题等。

图1-1 处理器-存储器性能鸿沟

1.2 电子线路版图设计

1.2.1CAD发展现状

计算机辅助设计(英语:Computer Aided Design, CAD)是指运用计算机软件

制作并模拟实物设计,展现新开发商品的外型、结构、色彩、质感等特色的过程。

随着技术的不断发展计算机辅助设计应该不仅仅适用于工业,还被广泛运用于平面印刷出版等诸多领域。它同时涉及到软件和专用的硬件。通常由CAD创建的建筑和工程项目的范围很广,包括建筑设计制图,机械制图,电路图,和其他各种形式的设计交流方式。现在,它们都成为计算机辅助设计更广泛的定义的一部分。

CAD最早的应用是在汽车制造、航空航天以及电子工业的大公司中。随着计算机变得更便宜,应用范围也逐渐变广。现今,CAD已经不仅仅用于绘图和显示,它开始进入设计者的专业知识中更“智能”的部分。

随着计算机科技的日益发展,性能的提升和更便宜的价格,许多公司已采用立体的绘图设计。以往,碍于计算机性能的限制,绘图软件只能停留在平面设计,欠了真实感。而立体绘图则冲破了这限制,令设计蓝图更实体化。

1.2.2EDA工具Zeni简介

ZeniVERI由华大九天公司研发,运行在Linux操作系统下,的一个功能完整的IC版图验证工具。它包括设计规则检查(DRC)、电学规则检查(ERC)、原理图网表和版图网表比较(LVS)、图形化LVS调试工具(LDX)。ZeniVERI可将报错结果返标于ZeniPDT和ZeniSE中,使验证工作更加简单直观,大大缩短了对电路错误定位和修改的时间

1.2.3EDA工具Aether简介

Aether是由华大九天公司研发的一个完整的数模混合信号IC设计平台,包含设计数据库管理(Design Manager)、工艺管理(Technology Manager)、原理图编辑器(Schematic Editor)、混合信号设计仿真环境(MDE)、版图编辑器(Layout Editor)、原理图驱动版图(SDL) 和混合信号布线器(MSR)等模块;无缝集成了华大九天SPICE仿真工具Aeolus-AS、数模混合信号仿真工具Aeolus-MS,混合信号波形查看工具iWave,物理验证工具Argus和寄生参数提取工具RCExplorer,同时可以集成其它主流的第三方工具,使整个设计流程更加平滑、高效。

1.2.4集成电路设计流程

集成电路设计的流程一般先要进行软硬件划分,将设计基本分为两部分:芯片硬件设计和软件协同设计。

芯片硬件设计包括:功能设计阶段,设计描述和行为级验证,逻辑综合,门级验证(Gate-Level Netlist Verification),布局和布线。

模拟集成电路设计的一般过程:电路设计,依据电路功能完成电路的设计;.前仿真,电路功能的仿真,包括功耗,电流,电压,温度,压摆幅,输入输出特性等参数的仿真;版图设计(Layout),依据所设计的电路画版图;后仿真,对所画的版图进行仿真,并与前仿真比较,若达不到要求需修改或重新设计版图;后续处理,将版图文件生成GDSII文件交予Foundry流片。

2 电路设计

运算放大器算一个小型的小路,对我们初学者来说难度不是很大,但是在实际操作中,还是遇到了很多问题,比如衬底没有接电压或者地,还有连线浮动。在版图设计中,我遇到了同种金属间距不够的问题,还有M1金属面积问题。经过在运放这个实验的锻炼中,我渐渐积累了一些经验,然后在D触发器的实验中,通过分模块的方法,分别设计各个模块的版图,最后拼接到一起,在这个过程中我也得到了很多的锻炼,本章我将介绍运算放大器电路的工作原理,电路设计及仿真;D触发器电路的单元模块电路组成及相应电路的设计与仿真。

2.1 运算放大器

2.1.1工作原理

运算放大器(英语:Operational Amplifier,简称OP、OPA、OPAMP、运放)是一种直流耦合,差模(差动模式)输入、通常为单端输出(Differential-in, single-ended output)的高增益(gain)电压放大器,因为刚开始主要用于加法,减法等模拟运算电路中,因而得名。

运算放大器所接的电源可以是单电源的,也可以是双电源的,如图3-1所示。运算放大器有一些非常有意思的特性,灵活应用这些特性可以获得很多独特的用途,总的来说,这些特性可以综合

为两条:

1、运算放大器的放大倍数为无

穷大。

2、运算放大器的输入电阻为无

穷大,输出电阻为零。

由此,所以只要运算放大器的输入端的输入电压不为零,输出端就会有与正的或负的电源一样高的输出电压本来应该是无穷高的输出电压,但受到电源电压的限制。准确地说,如果同相输入端输入的电压比反相输入端输入的电压高,哪怕只高极小的一点,运算放大器的输出端就会输出一个与正电源电压相同的电压;反之,如果反相输入端输入的电压比同相输人端输入的电压高,运算放大器的输出端就会输出一个与负电源电压相同的电压(如果运算放大器用的是单电源,则输出电压为零)。

2.1.2电路设计及仿真

图2-1-2-1 运算放大器电路图

图2-1-2-2 运算放大器测试电路图(电压跟随器)

图2-1-2-3 运算放大器仿真测试波形图

由于我们之前利用运算放大器搭建了一个电压跟随器,所以,vin的波形就应该和vip的波形一样,根据我们的仿真图波形,也确实证明了这一点。

2.2 D触发器

2.2.1反相器模块

图2-2-1-1 反相器模块原理图

CMOS反相器电路如图2-2-1-1所示,它由两个增强型MOS场效应管组成,其中n18为NMOS管,称驱动管,p18为PMOS管,称负载管。NMOS管的栅源开启电压UTN为正值,PMOS管的栅源开启电压是负值,其数值范围在2~5V之间。为了使电路能正常工作,要求电源电压UDD>(UTN+|UTP|)。

UDD可在3~18V之间工作,其适用范围较宽。所以当in为高电压,n18导通且p18截止,out为低电压;in为低电压时,p18导通且n18截止,out为高电压,所以即实现了输入为高输出为低,输入为低输出为高的逻辑关系,抽象后,即满足反相器的功能。

2.2.2或非门模块

图2-2-2- 或非门模块原理图

或非门(英语:NOR gate)是数字逻辑电路中的基本元件,实现逻辑或非功能。有多个输入端,1个输出端,多输入或非门可由2输入或非门和反相器构成。只有当两个输入A和B为低电平(逻辑0)时输出为高电平(逻辑1)。也可以理解为任意输入为高电平(逻辑1),输出为低电平(逻辑0)。

CMOS或非门电路如图2-2-2所示,其由上拉串联的两个pmos期间和两个下拉并联的nmos期间组成,其原理与反相器基本类似,当A为高电压时NM1导通PM0截止,A为低电压时,NM1截止PM0导通,B端也是这样。所以A、B其中之一为高out输出低,只有当A、B都为低时out才会输出高。这正好是或非们的逻辑组成。

2.2.3传输们模块

图2-2-3 传输们模块原理图

所谓传输门(TG)就是一种传输模拟信号的模拟开关。CMOS传输门由一个P沟道和一个N沟道增强型MOSFET并联而成,如图2 2-3所示。

MOSFET的输出特性在原点附近呈线性对称关系,因而它们常用作模拟开关。模拟开关广泛地用于取样——保持电路、斩波电路、模数和数模转换电路等。

TP和TN是结构对称的器件,它们的漏极和源极是可互换的。如图2 2-3,设它们的开启电压|VT|=2V且输入模拟信号的变化范围为-5V到+5V。为使衬底与漏源极之间的PN结任何时刻都不致正偏,故TP的衬底接+5V电压,而TN 的衬底接-5V电压。两管的栅极由互补的信号电压(+5V和-5V)来控制,分别用C和!C表示。

传输门的工作情况如下:当C端接低电压-5V时TN的栅压即为-5V,vI取-5V 到+5V范围内的任意值时,TN均不导通。同时、TP的栅压为+5V,TP亦不导通。可见,当C端接低电压时,开关是断开的。为使开关接通,可将C端接高电压+5V。此时TN的栅压为+5V,vI在-5V到+3V的范围内,TN导通。同时TP的棚压为-5V,vI在-3V到+5V的范围内TP将导通。

由上分析可知,当vI<-3V时,仅有TN导通,而当vI>+3V时,仅有TP导通当vI在-3V到+3V的范围内,TN和TP两管均导通。进一步分析还可看到,一管导通的程度愈深,另一管的导通程度则相应地减小。换句话说,当一管的导通电阻减小,则另一管的导通电阻就增加。由于两管系并联运行,可近似地认为开关的导通电阻近似为一常数。这是CMOS传输出门的优点。在正常工作时,模拟开关的导通电阻值约为数百欧,当它与输入阻抗为兆欧级的运放串接时,可以忽略不计。

2.2.4合并起来的总模块

图2-2-4-1 模块化D触发器电路图

D触发器有一个输入、一个输出和一个时钟频率输入,当时钟频率由0转为1时,输出的值会和输入的值相等。此类触发器可用于防止因为噪声所带来的错误,以及通过管道增加处理数据的数量。

图2-2-4-2 模块化D触发器测试电路图

在测试图中可以通过改变sd和rd的电平状态来控制置位和复位,然后通过观察波形图来确定电路逻辑关系的正确与否。

图2-2-4-3 模块化D触发器测试波形图(sd=0,rd=0)

图2-2-4-4 模块化D触发器测试波形图(sd=0,rd=1)

图2-2-4-5 模块化D触发器测试波形图(sd=1,rd=0)

3 版图设计

本章先介绍集成电路版图设计基础,又分别介绍用Zeni完成的反相器的版图与验证;Aether完成的运算放大器的版图设计及验证,D触发器各组成单元的版图与验证,D触发器的完整版图与验证。

3.1 集成电路版图设计基础

3.1.1版图设计

集成电路设计是根据电路功能和性能的要求,在正确选择系统配置、电路形式、器件结构、工艺方案和设计规则的情况下,尽量减Array小芯片面积,降低设计成本,缩短设计周期,以保证全

局优化,设计出满足要求的集成电路。

集成电路设计的最终输出结果是掩膜版图,通过制

版和工艺流片可以得到所需的集成电路。

集成电路版图就是在一定的工艺条件下,依据相关

的设计规则,按照集成电路功能和性能要求,设计出包

含电路中每个器件的图形结构、尺寸,以及器件相互间

的位置、连接等物理信息的一套多层次的几何图形。

版图设计规则:设计规则与厂家的技术水平和设备

条件密切相关,它不是正确与不正确实现集成电路的严

格界限,但是由于它包含了一定的工艺容差,遵循它进

行版图设计可以保证集成电路高概率地正确实现。版图设计规则大致分为几何设计规则,电学设计规则,其它限定规则。

3.1.2版图验证

版图验证是集成电路得以正确实现保障。随着电路规模的增大,版图数据数量和设计中的操作次数急剧增长,版图首次完成时很难保证其设计中没有错误。依靠成熟的版图验证工具(软件)验证版图,快速而准确地查出版图设计中的错误,对集成电路的正确实现是非常重要和必要的。

设计规则检查(DRC):

设计规则检查的任务是检查版图中几何图形的尺寸设计规则错误,包括最小线宽、最小间距、最小面积等。

电学规则检查(ERC):

电学规则检查的任务是在提取电路网表(Circuit Extraction)的基础上检查版图中电学特性上的常规性非法连接。

版图与电路一致性检查(LVS):

版图与电路一致性检查的任务:是将从版图提取出的电路网表与从电路图提取出的电路网表进行对照,检查两个网表中的节点连接关系是否匹配、对应元件是否匹配等,以保证版图所实现的电路与设计的电路完全一致。

3.1.3版图后仿真与数据提交

版图后仿真:从版图提取包括寄生参数在内的电路网表(LPE),进行spice 电路模拟或用软件从提取的寄生参数计算延迟反标到逻辑图中进行时序(Timing)模拟。(可以考虑工艺容差)

结果:仿真软件可以按要求给出各节点的仿真结果,如果仿真结果没有达到设计要求,可以通过软件分析,查找原因。

根据后仿真结果修改后,需要再做DRC、ERC、LVS和后仿真。

数据提交:通过后仿真的版图数据就可以按厂家要求进行版图数据转换、输出,提交给厂家制版、流片。

3.2 基于Zeni下反相器的版图与验证

图3-2 Zeni下反相器的版图

所谓版图验证就是根据版图设计的几何规则,电学规则和原始输入的逻辑关系,对版图设计进行正确性的验证。在验证过程中,也可以通过电路提取和参数提取,产生电路模拟的输入文件,以便进行后模拟,进一步检查电路的性能。版图验证需要在Zeni系统的ZeniVERI模块中进行。

3.3 基于Aether下的版图设计及验证

3.3.1运算放大器的版图设计及验证

图3-3-1 Aether下运算放大器的版图

3.3.2D触发器各组成单元的版图与验证

图3-3-2 Aether下D触发器各模块的版图(从左到右依次为传输门,或非门,反相器)

然后利用Aether软件对设计好的layout版图进行DRC验证,如果提示有错误,则需要再次到版图中进行修改,直到所有提示的错误全都消失。然后在进行LVS一致性验证,如果此时出现错误,则应该仔细观察原理图是否存在和版图不对应的地方,然后进行修改直至错误完全消失。

以或非门为例的版图验证:

DRC:

LVS:

其他的验证和此类似。如果在版图验证中出现错误,需要继续在Layout中或者原理图中进行修改,直到最后满足要求。

3.3.3D触发器的完整版图与验证

图3-3 -3Aether下D触发器版图

利用模块化的思想,分别设计D触发器所用到的各个模块,然后再设计D 触发器的时候就可以直接分别调用各个模块的版图,这样有很大的好处,首先,假如在设计过程中我们发现其中一个期间设计有问题,需要修改,那么我们可以直接对这个有问题的模块进行修改,然后在调用,省去了我们需要分别修改的麻烦。还有设计的时候,尽量让所有的模块的高度一样,这样我们在后面的布线过程中会比较简便,而且避免例如最小间距的问题等,而且在处理Nwell的问题会比较方便。

设计完版图之后需要首先进行DRC验证,如果存在例如同层金属间距过小,或者M1面积不够等问题,要不断地修正,然后在进行DRC验证,直到DRC错误全部消失。完成DRC验证之后,需要进行LVS一致性验证,如果我们在生成版图之后又修改过原理图或者某个模块的原理图以及版图的话,我们在做LVS 一致性验证时一般会出现错误。因为修改之后的逻辑关系发生了变化,而我们的总版图并没有进行更新,我们需要将出现错误的模块删去然后再重新调入进来,并对照原理图再进行连线。

DRC验证:

LVS验证:

4 总结与体会

经过八周课程的训练,在老师的指导下,我渐渐的基本上掌握了集成电路版图设计一些一些方法,对集成电路设计工具Aether的一些基本应用进行了了解,总体而言,我的收获还是很多的。

在第一节课上,在老师的指导下,我开始在Zeni下画反相器的版图,由于是第一次接触这门课程,所以刚开始基本属于什么都不懂的状态,只知道照着老师的样板来,按着老师版图的坐标一个一个的画,最后也稀里糊涂的通过了验证,结果实验确实是做完了,但是基本上不明白为什么要这样画。后来到了第二节课上,我们的实验是画运算放大器的版图,这节课老师给我们示范了一下整体流程,然后我对照着实验指导书,一步步地进行版图设计。但是最后还是出了问题,因为当时对CMOS管的了解不是很深,我竟然把原理图中的NMOS管和PMOS管给弄混了一个,后来我SDL生成LAYOUT时按照错误的Schematic形成的飞线进行连接,在波形仿真过程中出现了问题,因为我们测试的schematic是搭成了一个电压跟随器,但是在波形仿真的时候波形不对,后来我又重新修改电路,然后重新生成版图,最后经过一番周折才达到要求。通过这个小错误,我得到了一些经验,在设计好原理图之后,先进行仿真,如果版图生成之后再修改,过程很麻烦,很有可能在LVS结果中报错,或者在DRC中就出现问题。

最后我们的任务是设计一个D触发器,我们在课本上找到了一个原理图,在老师课上讲的模块化的思想下,我们分别设计了反相器、传输们、与非门,然后生成Symbol View,再搭建测试电路图,整个过程基本上没有出现大的问题,但是测试的波形不是很理想,因为在输出是低电平或者高电平的情况时,输出不是很稳定。

经过本次课程,我受益匪浅。我和我们班的同学报名参加了“华大九天杯集成电路设计大赛”,在我们设计参赛作品时,我们就基本按照老师上课所讲的步骤进行设计,比如首先是设计一个合适的原理图,当然我们实际课程中CMOS 管的宽长比是老师已经设计好给我们的,在实际设计过程中,为了提高器件的性能,我们往往要自己设计宽长比,来调节NMOS管和PMOS管的电流,从而提高性能。然后是设计原理图,按照要实现的逻辑,在一些门的配合下,设计出在一定工艺下符合我们要求的原理图并调节宽长比。接着是生成Symbol View,搭建合适的测试电路并进行波形仿真,观察是否满足我们的要求。再接着是SDL 生成Layout并按照原理图或者软件自己生成的飞线关系进行连接,注意要尽量减少所使用的金属的长度和层数,源漏可以共用的尽量共用,模块的高度尽量一样。最后就是进行DRC和LVS验证,如果出现错误,在回到版图中进行修改,直到最后全部通过。我们按照这个过程设计的版图效果还是很好的,除了密度错误,我们其他的问题都能修改好。

机械设计综合实验指导书与实验报告

机械设计综合实验指导书 及实验报告 班级 学号 姓名 机械基础实验中心雷代明 2017年3月 第一部分机械设计

实验一机械零件认知与分析实验 一、实验目的 1、熟悉常用的机械零件的基本结构,以便对所学理论知识产生一定的感性认识。 2、分析常用机械零件的基本构造及制造原理。 3、了解常用机械零件的实际使用情况。 二、实验内容 通过观察,掌握常用的机械零件的基本结构及应用场合。 三、实验简介 机械零件陈列观摩,共包括: (1)螺纹联接与应用 (2)键、花键、销、铆、焊、铰接 (3)带传动 (4)链传动 (5)齿轮传动 (6)蜗杆传动 (7)滑动轴承与润滑密封 (8)滚动轴承与装置设计 (9)轴的分析与设计 (10)联轴器与离合器。 共10个陈列柜,罗列了机械设计内容中大多数常用的基本零件与标准件,并对相应的零件进行了结构和基本受力分析,联接和安装的基本方法的说明,有些常用的零件还给出了简单的应用举例。 通过本实验的观摩,学生可以对照书本所学的基本内容,初步领会机械设计的一些常用零部件的基本设计与应用原理,从而达到举一反三的教学目的,对其所学的课本理论知识进一步巩固和深化。 四、实验要求 1、学生必须带上课本,以便于与书本内容进行对照观察。 2、进入实验室必须保持安静,不得大声喧哗,以免影响其他同学。 3、不得私自打开陈列柜,不得用手触摸各种机械零件模型。 4、服从实验人员的安排,认真领会机械零件的构造原理。 五、思考题 1、常用螺纹联接的方法有哪些? 2、说明无键联结的优缺点. 3、在带传动中,带张紧的方法有哪些?

4、轴上零件轴向常用的定位方法有哪些?举例说明。 第二章滑动轴承实验 实验二滑动轴承基本性能实验 一、概述 滑动轴承用于支承转动零件,是一种在机械中被广泛应用的重要零部件。根据轴承的工作原理,滑动轴承属于滑动摩擦类型。滑动轴承中的润滑油若能形成一定的油膜厚度而将作相对转动的轴承与轴颈表面分开,则运动副表面就不发生接触,从而降低摩擦、减少磨损,延长轴承的使用寿命。 根据流体润滑形成原理的不同,润滑油膜分为流体静压润滑(外部供压式)及流体动压润滑(内部自生式),本章讨论流体动压轴承实验。 流体动压润滑轴承其工作原理是通过轴颈旋转,借助流体粘性将润滑油带入轴颈与轴瓦配合表面的收敛楔形间隙内,由于润滑油由大端入口至小端出口的流动过程中必须满足流体流动连续性条件,从而润滑油在间隙内就自然形成周向油膜压力(见图2-1),在油膜压力作用下,轴颈由图2-1(a)所示的位置被推向图2-1(b)所示的位置。 当动压油膜的压力p在载荷F方向分力的合力与载荷F平衡时,轴颈中心处于某一相应稳定的平衡位置O1,O1位置的坐标为O1(e,φ)。其中e=OO1,称为偏心距;φ为偏位角(轴承中心0与轴颈中心0l连线与外载荷F作用线间的夹角)。 随着轴承载荷、转速、润滑油种类等参数的变化以及轴承几何参数(如宽径比、相对间隙)的不同,轴颈中心的位置也随之发生变化。对处于工况参数随时间变化下工作的非

版图设计论文15篇

版图设计论文15篇 版图设计论文 摘要:集成电路版图设计教学应面向企业,按照企业对设计工程师的要求来安排教学,做到教学与实践的紧密结合。从教学开始就向学生灌输IC行业知识,定位准确,学生明确自己应该掌握哪些相关知识。从集成电路数字版图、模拟版图和逆向设计版图这三个方面就如何开展教学可以满足企业对版图工程师的要求展开探讨,安排教学有针对性。在教学方法与内容上做了分析探讨,力求让学生在毕业后可以顺利进入IC行业做出努力。 关键词 版图设计设计论文设计 版图设计论文:一种基于厚膜工艺的电路版图设计 摘要:在电子线路版图设计中,通常采用印刷线路板技术。如果结合厚膜工艺技术,可以实现元器件数目繁多,电路连接复杂,且安装空间狭小的电路版图设计。通过对3种不同电路版图设计方案的理论分析,确定了惟一能满足要求的设计方案。基于外形尺寸的要求,综合考虑电路的性能和元件的封装形式,通过合理的电路分割和布局设计,验证了设计方案的合理性和可实现性。体现了厚膜工艺技术在电路版图设计中强大的优越性,使一个按常规的方法无法实现的电路版图设计问题迎刃而解。 关键词:电路版图设计;电路分割设计;厚膜混合集成电路;厚膜工艺 0 引言 随着电子技术的飞速发展,对电子设备、系统的组装密度的要求越来越高,对电路功能的集成度、可靠性等都提出了更高的要求。电子产品不断地小型化、轻量化、多功能化。除了集成电路芯片的集成度越来越高外,电路结构合理的版图设计在体积小型化方面也起着举足轻重的作用。

1 厚膜工艺技术简述 厚膜工艺技术是将导电带和电阻通过丝网漏印、烧结到陶瓷基板上的一种工艺技术[1]。 厚膜混合集成电路是在厚膜工艺技术的基础上,将电阻通过激光精调后,再将贴片元器件或裸芯片装配到陶瓷基板上的混合集成电路[2]。 厚膜混合集成电路基本工艺流程图见图1。 图1 厚膜工艺流程图 厚膜工艺与印制板工艺比较见表1。 2 电路版图设计 2.1 设计要求 将电路原理图(图2,图3)平面化设计在直径为34 mm的PCB板上(对电路进行分析后无需考虑相互干扰),外形尺寸图见图4。其中:序列号及电源为需要引出的引脚。 表1 厚膜工艺与印制板工艺比较 图2 原理图(1)

CMOS异或门集成电路课程设计

课程设计任务书 学生姓名:王帅军专业班级:电子1103班 指导教师:封小钰工作单位:信息工程学院 题目: CMOS异或门 初始条件: 计算机、ORCAD软件、L-EDIT软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:2周 2、技术要求: (1)学习ORCAD和L-EDIT软件。 (2)设计一个CMOS异或门电路。 (3)利用ORCAD和L-EDIT软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 2014.12.29布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 2014.12.29-12.31学习ORCAD和L-EDIT软件,查阅相关资料,复习所设计内容的基本理论知识。 2015.1.1-1.8对CMOS异或门电路进行设计仿真工作,完成课设报告的撰写。 2015.1.9 提交课程设计报告,进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要............................................................................................................................................. I Abstract ...................................................................................................................................... I I 1绪论 (1) 2 异或门介绍 (2) 3仿真电路设计 (3) 3.1 ORCAD软件介绍 (3) 3.2仿真电路原理图 (4) 3.3仿真分析 (5) 4版图设计 (8) 4.1 L-EDIT软件介绍 (8) 4.2版图绘制 (8) 4.3 CMOS异或门版图DRC检查 (10) 5心得体会 (11) 参考文献 (12) 附录 (123)

异或门版图设计报告

西安科技大学 高新学院 微电子专业实验报告 专业:微电子 班级:1001 姓名:黄升 学号:1001050120 指导老师:王进军

设计软件:tanner软件 实验目的和要求: 1、掌握L-edit软件的基本设定和集成电路工艺和版图的图层关系。 2、根据性能和指标要求,明确设计要求和规则。 3、电路版图实现过程中电源线的走法。 4、掌握L-edit和S-edit仿真环境,完成异或门的仿真。 5、掌握LVS环境变量。 异或门版图的设计方法: 1、确定工艺规则。 2、绘制异或门版图。 3、加入工作电源进行分析。 4、与LVS比较仿真结果。 实验内容: 完成COMS异或门版图设计,COMS异或门原理如下,要求在S-edit 中画出每一电路元件,并给出输入输出端口及电源线和地线。(一)异或逻辑关系式及真值表:F=A⊕B=A′B+ AB′

(二)原理图: (三)版图:

(四)仿真分析: Main circuit:Module0 .include“E:\ProgramFiles\tannerEDA\T-Spice10.1\models\m12_125.md M1 N3 A Gnd Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M2 F B N3 Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M3 F N3 B Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M4 N3 A Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M5 F B A Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M6 F A B Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u v7 Vdd Gnd 5.0 v8 B Gnd pulse(0.05.00 In In 100n 200n) v9 A Gnd pulse(0.05.00 In In 100n 400n) .tran In 800n .print tran v(A) v(B) v(F) End of main circuit:Module0

集成电路版图设计报告

北京工业大学集成电路板图设计报告 姓名:张靖维 学号:12023224 2015年 6 月 1日

目录 目录 (1) 1 绪论 (2) 1.1 介绍 (2) 1.1.1 集成电路的发展现状 (2) 1.1.2 集成电路设计流程及数字集成电路设计流程 (2) 1.1.3 CAD发展现状 (3) 2 电路设计 (4) 2.1 运算放大器电路 (4) 2.1.1 工作原理 (4) 2.1.2 电路设计 (4) 2.2 D触发器电路 (12) 2.2.1 反相器 (12) 2.2.2 传输门 (12) 2.2.3 与非门 (13) 2.2.4 D触发器 (14) 3 版图设计 (15) 3.1 运算放大器 (15) 3.1.1 运算放大器版图设计 (15) 3.2 D触发器 (16) 3.2.1 反相器 (16) 3.2.2 传输门 (17) 3.2.3 与非门 (17) 3.2.4 D触发器 (18) 4 总结与体会 (19)

1 绪论 随着晶体管的出现,集成电路随之产生,并极大地降低了电路的尺寸和成本。而由于追求集成度的提高,渐渐设计者不得不利用CAD工具设计集成电路的版图,这样大大提高了工作效率。在此单元中,我将介绍集成电路及CAD发展现状,本次课设所用EDA工具的简介以及集成电路设计流程等相关内容。 1.1介绍 1.1.1集成电路的发展现状 2014年,在国家一系列政策密集出台的环境下,在国内市场强劲需求的推动下,我国集成电路产业整体保持平稳较快增长,开始迎来发展的加速期。随着产业投入加大、技术突破与规模积累,在可以预见的未来,集成电路产业将成为支撑自主可控信息产业的核心力量,成为推动两化深度融合的重要基础。、 1.1.2集成电路设计流程及数字集成电路设计流程 集成电路设计的流程一般先要进行软硬件划分,将设计基本分为两部分:芯片硬件设计和软件协同设计。芯片硬件设计包括:功能设计阶段,设计描述和行为级验证,逻辑综合,门级验证(Gate-Level Netlist Verification),布局和布线。模拟集成电路设计的一般过程:电路设计,依据电路功能完成电路的设计;.前仿真,电路功能的仿真,包括功耗,电流,电压,温度,压摆幅,输入输出特性等参数的仿真;版图设计(Layout),依据所设计的电路画版图;后仿真,对所画的版图进行仿真,并与前仿真比较,若达不到要求需修改或重新设

微机综合设计实验报告

微机接口实验报告 学院:计算机与通信工程学院专业:计算机科学与技术 班级: 学号: 姓名: 综合设计实验

带分频的AD转换 实现功能: 利用8254实现分频功能,再利用AD0809实现数模转换功能。 设计思路: 首先利用8254芯片的计时功能,将CLK0端输入的1MHz的脉冲信号分频为0.1MHz的脉冲,并且从OUT0端输出,然后将输出的脉冲信号作为AD0809数模转换单元的输入信号,从而实现8254的分频功能和AD0809的数模转换功能。 设计接线图: 实验代码: (加粗为分频部分代码,未加粗为AD转换部分代码) IO8254_MODE EQU 283H ;8254控制寄存器端口地址 IO8254_COUNT0 EQU 280H ;8254计数器0端口地址 IO0809 EQU 298H ;AD0809DE STACK1 SEGMENT STACK DW 256 DUP(?) STACK1 ENDS CODE SEGMENT ASSUME CS:CODE START: MOV DX, IO8254_MODE ;初始化8254工作方式 MOV AL,37H ;计数器0,方式3 00110111 OUT DX,AL MOV DX,IO8254_COUNT0 ;装入计数初值 MOV AX,000AH ;10D=0AH(可以自己设计分频倍数或者利用多个计数器实现更大倍数的分频) MOV AL,03H

OUT DX,AL MOV AL,AH OUT DX,AL MOV DX, IO0809 ;启动A/D转换器 OUT DX, AL MOV CX, 0FFH ;延时 DELAY: LOOP DELAY IN AL, DX ;从A/D转换器输入数据 MOV BL,AL ;将AL保存到BL MOV CL, 4 SHR AL, CL ;将AL右移四位 CALL DISP ;调显示子程序显示其高四位 MOV AL, BL AND AL, 0FH CALL DISP ;调显示子程序显示其低四位 MOV AH, 02 MOV DL, 20H ;加回车符 INT 21H MOV DL, 20H INT 21H PUSH DX MOV DL, 0FFH ;判断是否有键按下 MOV AH, 06H INT 21H POP DX JE START ;若没有转START MOV AH, 4CH ;退出 INT 21H DISP PROC NEAR ;显示子程序 MOV DL, AL CMP DL, 9 ;比较DL是否>9 JLE DDD ;若不大于则为'0'-'9',加30h为其ASCII码 ADD DL, 7 ;否则为'A'-'F',再加7 DDD: ADD DL,30H ;显示 MOV AH, 02 INT 21H RET DISP ENDP CODE ENDS END START

PCB版图设计报告

兰州交通大学电信学院课程设计实验报告 实验名称:负反馈放大电路PCB设计 无线话筒PCB设计(选作) 试验日期: 2012年6月25日 班级: 电子科学与技术092班 姓名: 刘光智 学号: 200910112

Altium designer简介 Altium Designer 提供了唯一一款统一的应用方案,其综合电子产品一体化开发所需的所有必须技术和功能。Altium Designer 在单一设计环境中集成板级和FPGA系统设计、基于FPGA和分立处理器的嵌入式软件开发以及PCB版图设计、编辑和制造。并集成了现代设计数据管理功能,使得Altium Designer成为电子产品开发的完整解决方案-一个既满足当前,也满足未来开发需求的解决方案。 一、实验目的 1.了解并学会运用Altium designer软件绘制简单PCB 2.会运用Alitum designer软件设计库元件 3.掌握印刷电路板布线流程 4.掌握印刷电路板设计的基本原则 二、设计内容 1.要求用Alitum designer软件画出电路原理图 2.按照所画原理图自动生成PCB版图 3.会自己设计元件和库 三、实验步骤(负反馈放大器PCB设计) 1、新建工程、为工程添加项目:在D盘新建一个自己的文件夹重命名为ffk,运行Alitum designer软件,然后单击文件/新建/工程/PCB工程,然后右击所建的PCB工程选择给工程添加原理图,然后添加PCB,建完PCB工程保存工程到D/ffk内,保存时三个文件都命名为ffk.扩展名 2、画原理图:在原理图窗口画出所要画的PCB原理图,本次实验所画电路图如图1: 图1 3、对所画电路图进行编译:点击工程/Compile Document mic.SchDoc,然后点击工程/Compile PCB Project PCB_mic.PrjPCB,然后打开Messages窗口查看编译结果,若有错误按照提示对错误进行改正再编译,直至没有错误结束编译

模拟集成电路版图设计和绘制

电子科技大学 实验报告 学生姓名:连亚涛/王俊颖学号:2011031010032/0007指导教师:王向展实验地点:微固楼606实验时间:2014.6. 一、实验室名称:微电子技术实验室 二、实验项目名称:模拟集成电路版图设计和绘制 三、实验学时:4 四、实验原理 参照实验指导书。 五、实验目的 本实验是基于微电子技术应用背景和《集成电路原理》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路版图设计, 掌握基本的IC版图布局布线技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行版图的的设计。 六、实验内容 1、UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。 2、根据设计指标要求,自主完成版图设计,并掌握布局布线的基本技巧。 七、实验仪器设备 (1)工作站或微机终端一台

八、实验步骤 1、根据实验指导书熟悉UNIX操作系统常用命令的使用,掌握CadenceEDA 仿真环境的调用。 2、根据设计指标要求,设计出如下图所示的运算放大器电路版图,过程中应注意设计规则。 九、实验数据及结果分析: 1、通过本次实验掌握了UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。达到了实验目的。 2、根据设计指标要求,设计出运算放大器模拟集成电路版图。 (备注:小组共同完成) 十、实验结论: 通过这次实验,学习并掌握国际流行的EDA仿真软件Cadence的使用方法,完成了运算放大器集成电路版图的设计,其难点是版图的布局布线和设计规则的理解。 十一、总结及心得体会: 2学会了cadence在linux下的使用,在回去安装Ubuntu的过程中发生了很多错误,有了一定的提高,让我了解到使用免费破解的专业软件的不易。其次,cadence使用过程中,有很多技巧值得认真学习,如左手键盘右手鼠标操作,以及先画基本的接触孔,再画mos管,再用已有的Mos管拼接出其他宽长比的方法。同时,学会了如何提高画图效率的“偷懒”的办法。 当然,还有很多的不足,比如有些地方容易忽略版图的规则没有全局考量,造成重复赶工。在一些技巧上,如画不规则多边形保护环的方法还是太笨,没有用聪明的方法(多次shift+c)。

计算机操作系统综合设计实验报告实验一

计算机操作系统综合设计 实验一 实验名称:进程创建模拟实现 实验类型:验证型 实验环境: win7 vc++6.0 指导老师: 专业班级: 姓名: 学号: 联系电话: 实验地点:东六E507 实验日期:2017 年 10 月 10 日 实验报告日期:2017 年 10 月 10 日 实验成绩:

一、实验目的 1)理解进程创建相关理论; 2)掌握进程创建方法; 3)掌握进程相关数据结构。 二、实验内容 windows 7 Visual C++ 6.0 三、实验步骤 1、实验内容 1)输入给定代码; 2)进行功能测试并得出正确结果。 2、实验步骤 1)输入代码 A、打开 Visual C++ 6.0 ; B、新建 c++ 文件,创建basic.h 头文件,并且创建 main.cpp 2)进行功能测试并得出正确结果 A 、编译、运行main.cpp B、输入测试数据 创建10个进程;创建进程树中4层以上的数型结构 结构如图所示:。

createpc 创建进程命令。 参数: 1 pid(进程id)、 2 ppid(父进程id)、3 prio(优先级)。 示例:createpc(2,1,2) 。创建一个进程,其进程号为2,父进程号为1,优先级为2 3)输入创建进程代码及运行截图 4)显示创建的进程

3、画出createpc函数程序流程图 分析createpc函数的代码,画出如下流程图:

四、实验总结 1、实验思考 (1)进程创建的核心内容是什么? 答: 1)申请空白PCB 2)为新进程分配资源 3)初始化进程控制块 4)将新进程插入到就绪队列 (2)该设计和实际的操作系统进程创建相比,缺少了哪些步骤? 答:只是模拟的创建,并没有分配资源 2、个人总结 通过这次课程设计,加深了对操作系统的认识,了解了操作系统中进程创建的过程,对进程创建有了深入的了解,并能够用高 级语言进行模拟演示。一分耕耘,一分收获,这次的课程设计让 我受益匪浅。虽然自己所做的很少也不够完善,但毕竟也是努 力的结果。另外,使我体会最深的是:任何一门知识的掌握, 仅靠学习理论知识是远远不够的,要与实际动手操作相结合才能 达到功效。

三输入或门版图设计的

1绪论 1.1 设计背景 随着集成电路技术的日益进步,使得计算机辅助设计(CAD)技术已成为电路设计师不可缺少的有力工具[1]。国内外电子线路CAD软件的相继推出与版本更新,使CAD技术的应用渗透到电子线路与系统设计的各个领域,如芯片版图的绘制、电路的绘图、模拟电路仿真、逻辑电路仿真、优化设计、印刷电路板的布线等。CAD技术的发展使得电子线路设计的速度、质量和精度得以保证。在众多的CAD工具软件中,Spice程序是精度最高、最受欢迎的软件工具,tanner是用来IC版图绘制软件,许多EDA系统软件的电路模拟部分是应用Spice程序来完成的,而tanner软件是一款学习阶段应用的版图绘制软件,对于初学者是一个上手快,操作简单的EDA软件。 Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows平台的用于集成电路设计的工具软件。该软件功能十分强大,易学易用,包括S-Edit,T-Spice,W-Edit,L-Edit与LVS,从电路设计、分析模拟到电路布局一应俱全。其中的L-Edit版图编辑器在国内应用广泛,具有很高知名度。 L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案[2]。L-Edit Pro丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。 虽然SPICE开发至今已超过20年,然而其重要性并未随着制程的进步而降低。就国内的设计环境而言,商用的SPICE模拟软件主要有Hspice、Pspice、SBTspice、SmartSpice与Tspice等。 HSpice是Spice程序应用在PC上的程序,它的主要算法与Spice相同。

电子电路综合设计实验报告

电子电路综合设计实验报告 实验5自动增益控制电路的设计与实现 学号: 班序号:

一. 实验名称: 自动增益控制电路的设计与实现 二.实验摘要: 在处理输入的模拟信号时,经常会遇到通信信道或传感器衰减强度大幅变化的情况; 另外,在其他应用中,也经常有多个信号频谱结构和动态围大体相似,而最大波幅却相差甚多的现象。很多时候系统会遇到不可预知的信号,导致因为非重复性事件而丢失数据。此时,可以使用带AGC(自动增益控制)的自适应前置放大器,使增益能随信号强弱而自动调整,以保持输出相对稳定。 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小围变化的特殊功能电路,简称为AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 关键词:自动增益控制,直流耦合互补级,可变衰减,反馈电路。 三.设计任务要求 1. 基本要求: 1)设计实现一个AGC电路,设计指标以及给定条件为: 输入信号0.5?50mVrm§ 输出信号:0.5?1.5Vrms; 信号带宽:100?5KHz; 2)设计该电路的电源电路(不要际搭建),用PROTE软件绘制完整的电路原理图(SCH及印制电路板图(PCB 2. 提高要求: 1)设计一种采用其他方式的AGC电路; 2)采用麦克风作为输入,8 Q喇叭作为输出的完整音频系统。 3. 探究要求: 1)如何设计具有更宽输入电压围的AGC电路; 2)测试AGC电路中的总谐波失真(THD及如何有效的降低THD 四.设计思路和总体结构框图 AGC电路的实现有反馈控制、前馈控制和混合控制等三种,典型的反馈控制AGC由可变增益放大器(VGA以及检波整流控制组成(如图1),该实验电路中使用了一个短路双极晶体管直接进行小信号控制的方法,从而相对简单而有效实现预通道AGC的功能。如图2,可变分压器由一个固定电阻R和一个可变电阻构成,控制信号的交流振幅。可变电阻采用基极-集电极短路方式的双极性晶体管微分电阻实现为改变Q1电阻,可从一个由电压源V REG和大阻值电阻F2组成的直流源直接向短路晶体管注入电流。为防止Rb影响电路的交流电压传输特性。R2的阻值必须远大于R1。

版图设计实验报告

版图设计实验报告 课程名称:集成电路版图设计 姓名: 学号; 专业;电子科学与技术 教师;老师

目录 (一)实验目的 (3) (二)实验步骤 (4) 1,搭建环境···································································································· 2,运用ic6151··························································································· 3,作图··········································································································· 4,Run DRC·························································································· 5,画原理图··························································································· 6,Run LVS········································································································(三)实验总结·················································································································

集成电路版图设计论文

集成电路版图设计 班级12级微电子姓名陈仁浩学号2012221105240013 摘要:介绍了集成电路版图设计的各个环节及设计过程中需注意的问题,然后将IC版图设计与PCB版图设计进行对比,分析两者的差异。最后介绍了集成电路版图设计师这一职业,加深对该行业的认识。 关键词: 集成电路版图设计 引言: 集成电路版图设计是实现集成电路制造所必不可少的设计环节,它不仅关系到集成电路的功能是否正确,而且也会极大程度地影响集成电路的性能、成本与功耗。近年来迅速发展的计算机、通信、嵌入式或便携式设备中集成电路的高性能低功耗运行都离不开集成电路掩模版图的精心设计。一个优秀的掩模版图设计者对于开发超性能的集成电路是极其关键的。 一、集成电路版图设计的过程 集成电路设计的流程:系统设计、逻辑设计、电路设计(包括:布局布线验证)、版图设计版图后仿真(加上寄生负载后检查设计是否能够正常工作)。集成电路版图设计是集成电路从电路拓扑到电路芯片的一个重要的设计过程,它需要设计者具有电路及电子元件的工作原理与工艺制造方面的基础知识,还需要设计者熟练运用绘图软件对电路进行合理的布局规划,设计出最大程度体现高性能、低功耗、低成本、能实际可靠工作的芯片版图。集成电路版图设计包括数字电路、模拟电路、标准单元、高频电路、双极型和射频集成电路等的版图设计。具体的过程为: 1、画版图之前,应与IC 工程师建立良好沟通在画版图之前,应该向电路设计者了解PAD 摆放的顺序及位置,了解版图的最终面积是多少。在电路当中,哪些功能块之间要放在比较近的位置。哪些器件需要良好的匹配。了解该芯片的电源线和地线一共有几组,每组之间各自是如何分布在版图上的? IC 工程师要求的工作进度与自己预估的进度有哪些出入? 2、全局设计:这个布局图应该和功能框图或电路图大体一致,然后根据模块的面积大小进行调整。布局设计的另一个重要的任务是焊盘的布局。焊盘的安排要便于内部信号的连接,要尽量节省芯片面积以减少制作成本。焊盘的布局还应该便于测试,特别是晶上测试。 3、分层设计:按照电路功能划分整个电路,对每个功能块进行再划分,每一个模块对应一个单元。从最小模块开始到完成整个电路的版图设计,设计者需要建立多个单元。这一步就是自上向下的设计。 4、版图的检查: (1)Design Rules Checker 运行DRC,DRC 有识别能力,能够进行复杂的识别工作,在生成最终送交的图形之前进行检查。程序就按照规则检查文件运行,发现错误时,会在错误的地方做出标记,并且做出解释。

四输入或非门电路和版图设计说明

成绩评定表

课程设计任务书

目录 目录 .................................................................. III 1.绪论 (1) 1.1 设计背景 (1) 1.2 设计目标 (1) 2.四输入或非门 (2) 2.1 四输入或非门电路结构 (2) 2.2 四输入或非门电路仿真 (3) 2.3 四输入或非门的版图绘制 (4) 2.4 四输入或非门的版图电路仿真 (5) 2.5 LVS检查匹配 (6) 总结 (7) 附录一:原理图网表 (9) 附录二:版图网表 (10)

1.绪论 1.1 设计背景 Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows平台的用于集成电路设计的工具软件。该软件功能十分强大,易学易用,包括S-Edit,T-Spice,W-Edit,L-Edit与LVS,从电路设计、分析模拟到电路布局一应俱全。其中的L-Edit 版图编辑器在国内应用广泛,具有很高知名度。 L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案。L-Edit Pro丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。 1.2 设计目标 1.用tanner软件中的原理图编辑器S-Edit编辑四输入或非门电路原理图。 2.用tanner软件中的TSpice对四输入或非门电路进行仿真并观察波形。 3.用tanner软件中的L-Edit绘制四输入或非门版图,并进行DRC验证。 4.用tanner软件中的TSpice对四输入或非门的版图电路进行仿真并观察波形。 5.用tanner软件中的layout-Edit对四输入或非门进行LVS检验观察原理图与版图的匹配程度。

吉林大学无机化学研究生化学综合设计实验报告--全

化学综合和设计实验 实验报告 姓名:李玲云 学号:2014332036 专业:无机化学

扫描电子显微镜和EDS能谱演示实验 一、实验目的 1、初步了解扫描电子显微镜的工作原理、基本构造、操作及用途 2、掌握样品的制备方法 二、扫描电子显微镜的工作原理及用途 从电子枪阴极发出的直径20cm~30cm的电子束,受到阴阳极之间加速电压的作用,射向镜筒,经过聚光镜及物镜的会聚作用,缩小成直径约几毫微米的电子探针。在物镜上部的扫描线圈的作用下,电子探针在样品表面作光栅状扫描并且激发出多种电子信号。这些电子信号被相应的检测器检测,经过放大、转换,变成电压信号,最后被送到显像管的栅极上并且调制显像管的亮度。显像管中的电子束在荧光屏上也作光栅状扫描,并且这种扫描运动与样品表面的电子束的扫描运动严格同步,这样即获得衬度与所接收信号强度相对应的扫描电子像,这种图象反映了样品表面的形貌特征。第二节扫描电镜生物样品制备技术大多数生物样品都含有水分,而且比较柔软,因此,在进行扫描电镜观察前,要对样品作相应的处理。扫描电镜样品制备的主要要求是:尽可能使样品的表面结构保存好,没有变形和污染,样品干燥并且有良好导电性能。 在高压(2~20kV)的作用下,利用聚焦得到非常细的高能电子束,使其在试样上扫描(电子束与试样表层物质相互作用),激发出背散射电子、二次电子等信息,通过对上述信息的接收、放大和显示

成像,对试样表面进行分析。 根据量子力学理论,物质中存在着隧道现象,电子可以通过隧道穿过一个能级高度大于其总能量的势垒而出现在势垒的另一侧。因此,物质的表面电子可以借助隧道作用散逸出来,在物质表面附近形成电子云。在导体表面电子云中某位置的电子几率密度,会随着此位置与表面距离的增大而以指数形式迅速衰减。 扫描电子显微镜被广泛应用于材料科学、生物医学、信息产业、地质、石油化工和其它相关学科领域。是在微观尺度范围内,对样品的形貌进行观察、分析和测量的工具。现在的扫描电子显微镜,在配备相应附件后,可以获得试样表面的化学成分,晶体缺陷、电势、磁场及晶体取向等信息,是对固体物质表层进行综合分析的仪器。 吉林大学无机合成与制备化学国家重点实验室拥有场发射扫描电子显微镜。该显微镜通过接收二次电子信息来对样品表面形貌进行分析。显微镜的扫描倍数从25到650000倍,最大分辨率可达到1nm。显微镜有Oxford的能谱附件,可以进行样品的能谱测试。该显微镜不能对具有较强磁性的物质进行分析。 三、扫描电子显微镜的构造 1、电子光学系统(镜筒) 电子枪、三个电磁透镜、扫描线圈、试样室 电子枪中的灯丝产生高能电子束,电子枪的引出电压直接反映了灯丝状态的好坏(5kV~8kV不等)。每次实验都必须注意并记录电子枪引出电压。

集成电路版图设计调查报告

关于IC集成电路版图设计的调查报告 IC版图设计是指将前端设计产生的门级网表通过EDA设计工具进行布局布线和进行物理验证并最终产生供制造用的GDSII数据的过程,简单来说,是将所设计的电路转化为图形描述格式,即设计工艺中所需要的各种掩模板,而掩模板上的几何图形包括如下几层:n阱、有源区、多晶硅、n+和p+注入、接触孔以及金属层。 一. 版图设计流程 集成电路从60年代开始,经历了小规模集成,中规模集成,大规模集成,到目前的超大规模集成。单个芯片上已经可以制作含几百万个晶体管的一个完整的数字系统或数模混合的电子系统。在整个设计过程中,版图(layout)设计或者称作物理设计(physical design)是其中重要的一环。他是把每个原件的电路表示转换成集合表示,同时,元件间连接的线网也被转换成几何连线图形。概括说来,对于复杂的版图设计,一般分成若干个子步骤进行: 1.模块划分。为了将处理问题的规模缩小,通常把整个电路划分成若干个模块。版图规划和布局是为了每个模块和整个芯片选择一个好的布图方案。 2.布局布线。布局图应该和功能框图或者电路图大体一致,然后根据各个模块的面积大小进行调整,接着完成模块间的互连,并进一步优化布线结果。 3.版图压缩。压缩是布线完成后的优化处理过程,试图进一步减小芯片的占用面积。 4.版图检查。版图检查主要包括三个部分:1. Design Rules Checker(DR C)。DRC有识别能力,能够进行复杂的识别工作,在生成最终送交的图形之前进行检查,程序就会按照规则检查文件运行,发现错误时,会在错误的地方做出标记与解释。2. Electrical Rules Checker(ERC),它是用来检查线路短路,线路开路以及floating结点。ERC检查短路错误后,会将错误提示局限在最短的连接通路上。3. Layout Versus Schematic(LVS),LVS比较IC版图和原理图,报告版图连接和原理图的不一致,并进行修改直到版图与电路图完全一致为止。 5.版图修改。此时的工作主要包括检查Label是否正确,label所选的lay er是否正确;Power & Ground连接是否有问题,得到的files是否确实可靠,检查netlist中器件类型的命名是否规范等。

[整理]IC项目单6.

深圳职业技术学院 Shenzhen Polytechnic 实训(验)项目报告Training Item Report

编制部门:电信学院 编制人:赵杰 审核人 编制日期: 2011-2-20 深 圳 职 业 技 术 学 院 Shenzhen Polytechnic 实 训(验)项 目 单 Training Item

5. 利用L-EDIT设计二输入异或门版图 1)在已经有的工程(project)中,建立一个新的cell,命名为xor2 2)将倒相器inv和传输门tran(两个MOS管)的版图instance到新建cell中,并对其flatten 3)对instance得到的版图进行修改,选择正确MOS管尺寸,并进行正确连接,成为两输入异或门版图,并进行DRC检查修改相应错误 4) 在电路的输入、输出端口,以及Vdd与Gnd 5)进行CMOS异或门版图网表抽取,加入仿真命令,进行瞬时和直流分析 Tool Extract General选项 Extract Definition File: c:\Tanner\spr\morbn20.ext Spice Extract Output File: d:\design\xor2.spc Output选项 Comment: √ Write Node name ? Names √ Write Verbose Spice Statement Spice Include Statement . Include c:\tanner\models\ml2_125.md 6)注意各个MOS管尺寸的选取和连接 7)截面观察:TOOLS CROSS-SECTION 8)观察二输入异或门版图设计成果,可单独显示某一图层,或某些特定图层 9)T-SPICE模拟 采用实训4、5的方法,在得到的xor2.spc文件中,插入相应的命令,进行二输入异或门版图的瞬时和直流模拟。 下图为CMOS二输入异或门的参考版图。

运算放大器电路及版图设计报告

目录 摘要 (2) 第一章引言 (3) 第二章基础知识介绍 (4) 2.1 集成电路简介 (4) 2.2 CMOS运算放大器 (4) 2.2.1理想运放的模型 (4) 2.2.2非理想运算放大器 (5) 2.2.3运放的性能指标 (5) 2.3 CMOS运算放大器的常见结构 (6) 2.3.1单级运算放大器 (6) 2.3.2简单差分放大器 (6) 2.3.3折叠式共源共栅(Folded-cascode)放大器 (7) 2.4版图的相关知识 (8) 2.4.1版图介绍 (8) 2.4.2硅栅CMOS工艺版图和工艺的关系 (8) 2.4.3 Tanner介绍 (9) 第三章电路设计 (10) 3.1总体方案 (10) 3.2各级电路设计 (10) 3.2.1第三级电路设计 (10) 3.2.2第二级电路设计 (11) 3.2.3第一级电路设计 (12) 3.2.4三级运放整体电路图及仿真结果分析 (14) 第四章版图设计 (15) 4.1版图设计的流程 (15) 4.1.1参照所设计的电路图的宽长比,画出各MOS管 (15) 4.1.2 布局 (17) 4.1.3画保护环 (17) 4.1.4画电容 (17) 4.1.5画压焊点 (18) 4.2 整个版图 (19) 第五章 T-Spice仿真 (21) 5.1提取T-Spice文件 (21) 5.2用T-Spice仿真 (24) 5.3仿真结果分析 (26) 第六章总结 (27) 参考文献 (28)

摘要 本次专业综合课程设计的主要内容是设计一个CMOS三级运算跨导放大器,该放大器可根据不同的使用要求,通过开关的开和闭,选择单级、两级、三级组成放大器,以获得不同的增益和带宽。用ORCAD画电路图,设计、计算宽长比,仿真,达到要求的技术指标,逐级进行设计仿真。然后用L-Edit软件根据设计的宽长比画版图,最后通过T-Spice仿真,得到达到性能指标的仿真结果。 设计的主要结果归纳如下: (1)运算放大器的基本工作原理 (2)电路分析 (3)设计宽长比 (4)画版图 (5)仿真 (6)结果分析 关键词:CMOS运算跨导放大器;差分运放;宽长比;版图设计;T-Spice仿真

相关主题
文本预览
相关文档 最新文档