当前位置:文档之家› 多通道可调脉宽脉冲发生器设计

多通道可调脉宽脉冲发生器设计

多通道可调脉宽脉冲发生器设计
多通道可调脉宽脉冲发生器设计

《电子技术应用》2007年第5期本刊邮箱:eta@ncse.com.cn图2单片机和CPLD的硬件连接原理图

高重复频率的固体开关技术是脉冲功率领域研究的重点之一。在兆赫兹重复频率下,适合构成固体开关的功率电子器件有金属氧化物半导体场效应晶体管(MOS-FET)、

砷化镓光导开关(GaAs-PCSS)等。对于将功率MOS-FET器件作为固体开关的脉冲功率源,由于单个功率MOSFET器件的耐压和输出电流能力有限,为了得到更高的电压和更大的电流,需要对大量的功率MOSFET器件进行串联和并联。美国利弗莫尔国家实验室(LLNL)用于产生20kV、400A的脉冲功率源,一共使用了720个功率MOS-FET器件[1]。这些功率MOSFET器件在产生输出脉冲时是

同步触发的,这样就需要有多通道的同步触发信号。

功率MOSFET的开关速度非常快,一般为十几纳秒。因此,对同步输出的触发信号需要的时间抖动要小于MOSFET器件的开关时间,

否则将会引起并联的

MOSFET器件的电流不均匀,导致器件损坏。MOSFET器

件的开关完全是由输入栅极驱动信号决定的,为了能使功率MOSFET器件能在MHz的重复频率下工作,要求触发信号源有很小脉宽的输出能力。本文介绍了一种可用于兆赫兹重复频率的脉冲功率源上作为触发信号的多通道可调脉宽、频率的脉冲发生器的设计。

1系统的组成和工作原理

脉冲发生器的系统结构如图1,整个系统由控制部分和光纤发射电路部分组成。在控制部分中,单片机89S52和可编程逻辑器件(CPLD)ispLSI1032E组成了脉冲产生的逻辑硬件核心。单片机负责接收并解析上位机(PC机)的设置信息,如输出脉冲的宽度,频率和个数,通过运算得

到CPLD所需的分频数,并通过8位总线传输给CPLD,由

CPLD产生多个通道同步输出的脉冲信号。单片机还可以

读取CPLD中关于输出脉冲的设置,处理后返回到上位机作为诊断信息。CPLD的优点是可用I/O口多,可以实现多通道的同步输出。光纤发射电路将每一路控制产生的脉冲信号经驱动增强电路之后,分成12路的同步脉冲,用光纤发射器件以光信号的方式输出。

2硬件设计

控制部分的核心是单片机和CPLD器件,它们之间的接口方式一般有独立方式和总线方式两种。独立方式最大的优点是接口逻辑无须遵循单片机内固定的总线方式的读写时序。总线方式具有编程简单、速度快的优点。本设计采用8位总线方式,图2为硬件连接原理图。设计

多通道可调脉宽脉冲发生器设计

张良,秦玲,刘承俊,章林文

(中国工程物理研究院流体物理研究所,四川绵阳621900)

摘要:一种用于功率MOSFET器件触发信号产生的多通道可调脉宽脉冲发生器。该装置具有控制简单,多个通道时间抖动小的特点。

关键词:信号发生器多通道脉宽可调

29

《电子技术应用》2007年第5期

欢迎网上投稿www.aetnet.cnwww.aetnet.com.cn图4脉冲信号发生器控制程序用户界面

图3单路光纤发射原理图

中选用的CPLD是Lattice公司的ispLSI1032E-

125LJ,CPLD的时钟采用80MHz的有源晶振,

产生的脉冲的最小脉宽为12.5ns,最大的脉冲宽度为12.5×256=3187.5ns。在脉冲串输出模式下最多可以输出255个脉冲;在连续输出模式下,输出脉冲个数不受限制。这样的设计可以满足脉冲功率源的应用范围。

单路光纤发射电路原理图见图3。为了使光纤发射器件有足够的驱动电流,电路中用了3个与非门并联驱动。每一个CPLD的输出端口都由光纤发射电路分成12路同步光信号输出,因此,最后能得到的触发信号的路数可以是非常多的,足以满足现阶段开展的脉冲功率源的研究需要。

3软件设计

信号发生器需要编写上位机(PC机)、单片机和CPLD的程序。在PC机上,用Microsoft

VisualStudio2005作为开发平台,以VB作为

开发语言,采用System.IO.Ports.SerialPort作为串口通信的控件,编写了上位机的控制程序。

SerialPort控件很好地封装了串口通信的操作,编写程序仅仅需要调用Write和ReadByte这两个函数[2]。PC的功

能模块包括设置和获取信号发生器输出脉冲的宽度、数量、频率、复位单片机等。开发的脉冲信号发生器控制程序的用户界面如图4所示。

单片机89S52程序的各个函数模块之间的关系和程序流程如图5和图6所示。整个程序以串口中断处理函数作为程序的主流程。同时,由于把CPLD作为单片机的外部数据存储器,单片机对CPLD的控制程序就简化为对CPLD映射的几个端口的读写。

CPLD以Lattice公司的ispLevel3做为开发工具,用VHDL作为开发语言,包括端口映射模块和脉冲生成模

块。端口映射模块模拟单片机的读写时序,将CPLD映

射为单片机的0x80~0x82这几个地址。其VHDL语言关键代码如下:process(ALE,RD)begin

if((ALEandRD)=′

1′)thenread<=′1′

;endif;

if((ALEandRD)=′0′)then

read<=′0′

;endif;endprocess;

--从总线上读数据

process(read)begin

if(read′EVENTandread=′0′)then

caseALE_Addressis

when″

10000000″=>P0<=baseSettingPeriod;

when″10000001″=>P0<=

baseSettingWidth;

when″10000010″=>P0<=

baseSettingPulsenumber;

30

《电子技术应用》2007年第5期本刊邮箱:eta@ncse.com.cn脉冲宽度电压最大值电压最小值周期

12.6ns

4.3V-1.4V124.7ns

表1波形的测量结果

图9波形实验结果

图6单片机程序流程图

whenothers=>P0<=″ZZZZZZZZ″

;endcase;

endif;

endprocess;

--写数据到总线上

process(WR)beginif(WR′eventandWR=′0′)thencaseALE_Addressis

when″10000000″=>baseSettingPeriod<=P0;when″10000001″=>baseSettingWidth<=P0;when″10000010″=>baseSettingPulsenumber<=P0;whenothers=>null;endcase;endif;

endprocess;

端口映射部分的仿真结果如图7所示。

脉冲生成部分由两个主要process组成,一个process用来产生单个的脉冲,另一个则用来产生设定数量的脉

冲。仿真的波形如图8所示。

4实验结果

图9是在周期为125ns、脉宽为12.5ns时的实验波形。对波形的测量结果如表1。

由测量结果可知,实验波形的脉冲宽度以及周期的测量值与相应的设置值之间的误差非常小。对二路光信号进行接收、放大和调

理之后的波形的测量显示方法得到的多路功率MOSFET器件的触发信号,时间抖动可以小于1ns,相对于功率

MOSFET开关时间(十几个ns),其时间抖动带来的开关

动作不同步效应可以忽略。因此,采用这种方式设计的多通道触发电路可以适应脉冲功率源的应用要求。

本文介绍的使用51内核的单片机和CPLD器件为硬件核心搭建的多通道可调脉宽的脉冲信号发生器,

可以产生足够数量的同步光信号,满足兆赫兹重复频率的脉冲功率源的大量同步触发信号的应用要求。参考文献

[1]COOKEG,ALLENFV,AnayaEM,etal.Solid-

StatemodulatorR&DatLLNL.InternationalWorkshoponRecentProgressofInductionAcceleratorsTsukuba,Japan,2002.

[2]MicrosoftCorporation.MicrosoftMSDNLibrary.http://

msdn2.microsoft.com/zh-cn/library/system.io.ports.serialport.aspx

(收稿日期:2006-10-30)

图7CPLD仿真51单片机的读写时序

图8脉冲生成程序的仿真结果

31

脉冲信号发生器使用方法

脉冲信号发生器可以产生重复频率、脉冲宽度及幅度均为可调的脉冲信号,广泛应用于脉冲电路、数字电路的动态特性测试。脉冲信号发生器一般都以矩形波为标准信号输出。 脉冲信号发生器的种类繁多,性能各异,但内部基本电路应包括图1所示的几个部分。 主振级一般由无稳态电路组成,产生重复频率可调的周期性信号。隔离级由电流开关组成,它把主振级与下一级隔开,避免下一级对主振级的影响,提高频率的稳定度。脉宽形成级一般由单稳态触发器和相减电路组成,形成脉冲宽度可调的脉冲信号。放大整形级是利用几级电流开关电路对脉冲信号进行限幅放大,以改善波形和满足输出级的激励需要。输出级满足脉冲信号输出幅度的要求,使脉冲信号发生器具有一定带负载能力。通过衰减器使输出的脉冲信号幅度可调。 所示为xc-15型脉冲信号发生器的面板示意图,xc-15型脉冲信号发生器是高重复频率ns (纳秒)级脉冲信号发生器。其重复频率范围为1kHz~100MHz,脉冲宽度为5ns~300μs,幅度为150mV~5V,并输出正、负脉冲及正、负倒置脉冲,性能比较完善。 (1)XC-15型脉冲信号发生器的面板开关、旋钮的功能及使用 ①“频率”粗调开关和“频率细调”旋钮。调节“频率”粗调开关和“频率细调”旋钮,可实现1kHz~100MHz的连续调整。粗调分为十挡(1kHz、3kHz、10kHz、100kHz、300kHz、1MHz、3MHz、10MHz、30MHz和100MHz),用细调覆盖。“频率细调”旋钮顺时针旋转时频率增高,顺时针旋转到底,为“频率”粗调开关所指频率;逆时针旋转到底,为此“频率”粗调开关所指刻度低一挡。例如,“频率”粗调开关置于10kHz挡,“频率细调”旋钮顺时针旋转到底时输出频率为10kHz;逆时针旋转到底时输出频率为3kHz。 ②“延迟”粗调转换开关和“延迟细调”旋钮。调节此组开关和旋钮,可实现延迟时间5ns~300,tts的连续调整。延迟粗调分为十挡(5ns、10ns、30ns、l00ns、300ns、1μs、3μs、10μs、30μs和100μs),用细调覆盖。延迟时间加上大约30ns的固有延迟时间等于同步输出负方波的下降沿超前主脉冲前沿的时间。 “延迟细调”旋钮逆时针旋转到底为粗调挡所指的延迟时间。顺时针旋转延迟时间增加,顺时针旋转到底为此粗调挡位高一挡的延迟时间。例如,“延迟”粗调开关置于30ns挡,“延迟细调”旋钮顺时针旋转到底时输出延迟时间为100ns;逆时针旋转到底时输出延迟时间为30ns。 ③“脉宽”粗调开关和“脉宽细调”旋钮。通过调节此组开关和旋钮,可实现脉宽5ns~300μs 的连续调整。“脉宽”粗调分为十挡(5ns、10ns、30ns、100ns、300ns、1μs、3μs、10μs、30μs和100μs),用细调覆盖。“脉宽细调”旋钮逆时针旋转到底为粗调挡所指的脉宽时间。顺时针旋转脉宽增加,顺时针旋转到底为此粗调挡位高一挡的脉宽。例如,“脉宽”粗调开关置于10ns挡,“脉宽细调”旋钮顺时针旋转到底时输出脉宽为30ns;逆时针旋转到底时输出延迟时间为10ns。 ④“极性”选择开关。转换此开关可使仪器输出四种脉冲波形中的一种。 ⑤“偏移”旋钮。调节偏移旋钮可改变输出脉冲对地的参考电平。 ⑥“衰减”开关和“幅度”旋钮。调节此组开关和旋钮,可实现150mV~5V的输出脉冲幅度调整。 (2)使用注意事项在使用xc 15型脉冲信号发生器时应注意如下两点事项。 ①本仪器不能空载使用,必须接入50Ω负载,并尽量避免感性或容性负载,以免引起波形畸变。 ②开机后预热15min后,仪器方能正常工作。

产生脉冲的程序的PLC程序梯形图

产生脉冲的程序的PLC程序梯形图 (1)周期可调的脉冲信号发生器 如图5-6所示采用定时器TO产生一个周期可调节的连续脉冲。当 X0常开触点闭合后,第一次扫描到 TO常闭触点时,它是闭合的,于是 TO线圈得电,经过1s的延时,TO常闭触点断开。TO常闭触点断开后的下一个扫描周期中,当扫描到TO常闭触点时,因它已断开,使 TO线圈失电,TO常闭触点又随之恢复闭合。这样,在下一个扫描周期扫描到TO常闭触点时,又使TO线圈得电,重复以上动作,TO的常开触点连续闭合、断开,就产生了脉宽为一个扫描周期、脉冲周期为 1s的连续脉冲。改变TO的设定值,就可改变脉冲周期。 @5-6 图5-6周期可调的脉冲信号发生器 a)梯形图b)时序图 (2)占空比可调的脉冲信号发生器 如图5-7所示为采用两个定时器产生连续脉冲信号,脉冲周期为5秒,占空比为3: 2 (接通时间:断开时间)。接通时间3s,由定时器T1设定,断开时间为2s,由定时器TO设定,用丫0作为连续脉冲输出端。

图5-7占空比可调的脉冲信号发生器 a)梯形图b)时序图 (3) 顺序脉冲发生器 如图5-8a 所示为用三个定时器产生一组顺序脉冲的梯形图程序,顺序脉冲波形如图 5-8b 所示。当X4接 通,T40开始延时,同时丫31通电,定时10s 时间到,T40常闭触点断开,丫31断电。T40常开触点闭合,T41 开始延时,同时Y32通电,当T41定时15s 时间到,Y32断电。T41常开触点闭合,T42开始延时.同时Y33通 电,T42定时20s 时间到,丫33断电。如果X4仍接通,重新开始产生顺序脉冲,直至 X4断开。当X4断开时, 所有的定时器全部断电,定时器触点复位,输出 丫31、Y32及丫33全部断电。 X4 T42 T —— K1QC 屈 T40 T —― T40 T --------- m K190 WO T41 T _p T41 十、 T ------

简易波形发生器设计

摘要:单片机主要面对的是测控对象,突出的是控制功能,所以它从功能和形态上来说都是应测控领域应用的要求而诞生的。随着单片机技术的发展,它在芯片内部集成了许多面对测控对象的接口电路,如ADC、DAC、高速I/O接口、脉冲宽度调制器(Pulse Width Modulator,PWM)、监视定时器(Watch Dog Timer,WDT)等。这些对外电路及外设接口已经突破了微型计算机传统的体系结构,所以单片机也称为微控制器(Micro Controller)。 关键词:中央处理器;随机存储器;只读存储器

引言:一般函数发生器是由硬件组成的,它的输出频率范围宽,各项指标高,性能优良,因而在对输出波形要求较高的地方被广泛应用,这种仪器的缺点是电路复杂,成本高,输出波形种类不多,不够灵活。在对波形指标要求不高,频率要求较低的场合,可以用单片机构成一个波形发生器。产生所需要的各种波形,这样的函数发生器靠软件产生各种波形,小巧灵活,便于修改,且成本低廉,容易实现。 1设计概述 1.1 课程设计的目的 通过对本课题的设计,掌握A/D,D/A转换的应用,用单片机产生各种波形的方法及改变波形频率的方法。熟悉单片机应用系统的设计以及软硬件的调试。单片机本身并没有开发能力,必须借助开发工具即硬件开发环境才能进行开发。单片机的硬件开发环境有PC机、编程器和仿真机等。 1.2 设计的内容、要求 设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节。 对于四种波形的切换,用两个开关的四种状态来表示(或用按钮)。选用常用的A/D转换芯片0809来实现模拟量的输入。D/A转换器选用0832来输出波形。

基于单片机的脉冲理疗仪设计讲解

基于单片机的脉冲理疗仪设计 学院名称: 专 业: 电子信息工程 班 级: 学 号: 姓 名: 指导教师姓名: 指导教师职称: 高级实验师 二〇一四年 六月 JIANGSU UNIVERSITY OF TECHNOLOGY 本科毕业设计(论文)

基于单片机的脉冲理疗仪设计 摘要:本次设计为基于单片机的脉冲理疗仪设计,其中包括单片机系统模块、显示模块、报警模块、电源模块、升压模块、按键模块。当系统通电后,设置理疗的时间、幅度,在LED数码管上显示,当理疗时间到达设定时间,报警响,理疗仪停止工作,脉冲强度受占空比影响,占空比越大,输出强度越大,脉冲理疗仪就是将物理因子作用于人体,使之产生好转的设备。本设计基本能完成脉冲理疗的功能,并且操作简单易懂,适合广大群体使用。 关键词:脉冲;幅度;理疗;占空比 Pulse fields design based on single chip microcomputer Abstract:The design for pulse fields design based on single chip microcomputer, including single chip microcomputer system module, display module, alarm module, power supply module, the booster module, keys module. When the system after electrify, set the physical therapy time, amplitude, displayed on the LED digital tube, when physical therapy time setting time, alarm, stop working fields and pulse strength affected by the duty ratio, the duty ratio, the greater the output intensity, the greater the pulse fields is the effect of physical factors on human body, make it have better equipment. This design basic can complete the function of the pulse physical therapy, and easy operation, suitable for the general population. Keywords:Pulse;Amplitude;Physical therapy;Duty ratio

PWM信号发生器的设计报告

前言 脉冲宽度调制(Pulse Width Modulation.PWM)控制技术以其控制简单、灵活和动态响应好的优点而成为电力电子技术和模拟信号数字传输通信领域最广泛应用的控制方式,因此研究基于PWM技术的脉冲宽度及周期可调的信号发生器具有十分重要的现实意义。 本文主要讨论了脉冲占空比可调信号的产生方法,采用三种不同的方案使用VHDL语言编程实现了信号的产生。其中方案一的原理是分频,即用计数器计算时钟脉冲的上升沿个数,再通过输出电平反复翻转得到计数个数(脉冲宽度)可控的PWM 信号;方案二的原理是锯齿波比较法,首先编程产生阶梯状的锯齿波,再通过锯齿波与输入占空比值(数值可控的直线)比较产生脉冲宽度随输入占空比数值变化的PWM 信号;方案三是用有限状态机产生有用信号,首先定义两个状态,再通过计数器值与输入占空比值比较控制状态的切换,产生PWM信号。本文详细介绍方案二和方案三两种方法。 通过使用QuartusII9.0软件采用VHDL语言编程并用功能仿真证实了上文提到的三种PWM信号产生方案都是可行的,都能产生切实可用的PWM信号,三种方案中均可以通过修改输入端口占空比来控制产生信号的脉宽,且可以通过在程序中修改计数器的计数上限和分频模块的分频比改变信号的周期及频率,实现了多参数可调,使整体设计具有灵活的现场可更改性和较好的可移植性。且实现功能的程序简单易懂,设计过程中思路阐述清晰,流程介绍明了,且程序易于修改,可读性好。

第一章设计要求 1.1 研究课题 PWM信号发生器的研制 1.2设计要求 用CPLD可编程模块产生下列信号(特殊芯片:EPM570T100C5) (1)采用VHDL编写相关程序,PWM信号的工作频率为500Hz(1000Hz); (2)时钟信号通过分频器后,由输入开关量控制占空比可调。

多通道可调脉宽脉冲发生器设计

《电子技术应用》2007年第5期本刊邮箱:eta@ncse.com.cn图2单片机和CPLD的硬件连接原理图 高重复频率的固体开关技术是脉冲功率领域研究的重点之一。在兆赫兹重复频率下,适合构成固体开关的功率电子器件有金属氧化物半导体场效应晶体管(MOS-FET)、 砷化镓光导开关(GaAs-PCSS)等。对于将功率MOS-FET器件作为固体开关的脉冲功率源,由于单个功率MOSFET器件的耐压和输出电流能力有限,为了得到更高的电压和更大的电流,需要对大量的功率MOSFET器件进行串联和并联。美国利弗莫尔国家实验室(LLNL)用于产生20kV、400A的脉冲功率源,一共使用了720个功率MOS-FET器件[1]。这些功率MOSFET器件在产生输出脉冲时是 同步触发的,这样就需要有多通道的同步触发信号。 功率MOSFET的开关速度非常快,一般为十几纳秒。因此,对同步输出的触发信号需要的时间抖动要小于MOSFET器件的开关时间, 否则将会引起并联的 MOSFET器件的电流不均匀,导致器件损坏。MOSFET器 件的开关完全是由输入栅极驱动信号决定的,为了能使功率MOSFET器件能在MHz的重复频率下工作,要求触发信号源有很小脉宽的输出能力。本文介绍了一种可用于兆赫兹重复频率的脉冲功率源上作为触发信号的多通道可调脉宽、频率的脉冲发生器的设计。 1系统的组成和工作原理 脉冲发生器的系统结构如图1,整个系统由控制部分和光纤发射电路部分组成。在控制部分中,单片机89S52和可编程逻辑器件(CPLD)ispLSI1032E组成了脉冲产生的逻辑硬件核心。单片机负责接收并解析上位机(PC机)的设置信息,如输出脉冲的宽度,频率和个数,通过运算得 到CPLD所需的分频数,并通过8位总线传输给CPLD,由 CPLD产生多个通道同步输出的脉冲信号。单片机还可以 读取CPLD中关于输出脉冲的设置,处理后返回到上位机作为诊断信息。CPLD的优点是可用I/O口多,可以实现多通道的同步输出。光纤发射电路将每一路控制产生的脉冲信号经驱动增强电路之后,分成12路的同步脉冲,用光纤发射器件以光信号的方式输出。 2硬件设计 控制部分的核心是单片机和CPLD器件,它们之间的接口方式一般有独立方式和总线方式两种。独立方式最大的优点是接口逻辑无须遵循单片机内固定的总线方式的读写时序。总线方式具有编程简单、速度快的优点。本设计采用8位总线方式,图2为硬件连接原理图。设计 多通道可调脉宽脉冲发生器设计 张良,秦玲,刘承俊,章林文 (中国工程物理研究院流体物理研究所,四川绵阳621900) 摘要:一种用于功率MOSFET器件触发信号产生的多通道可调脉宽脉冲发生器。该装置具有控制简单,多个通道时间抖动小的特点。 关键词:信号发生器多通道脉宽可调 29

基于STM32的简易信号发生器

绍兴文理学院 数理信息学院 课程设计报告书题目基于STM32的简易信号发生器电子信息工程专业 1班 姓名 xxx 指导教师 xxx 时间 2014年 7月12日

课程设计任务书

基于STM32的简易波形发生器 摘要 函数信号发生器是一种能够产生多种波形,如正弦波、方波、三角波、锯齿波等的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出以上波形的波形发生器。本课题采用STM32[1]为控制芯片,采用DDS[2]的设计方法,可将采样点经D/A[3]转换后输出任意波形,可通过调节D/A转换的频率来调节输出波形的频率,也可通过改变取点的起始位置来调节波形的初始相位。 关键词信号发生器STM32 DDS

目录 课程设计任务书.............................................................................................................................. I 摘要……………………………………………………………………………………………….II 1 设计概述 (1) 2 设计方案 (2) 3 设计实现 (3) 3.1 设计框图及流程图 (3) 3.2 MCU控制模块 (5) 3.3 按键控制模块 (5) 3.4 信号输出模块 (6) 3.5 LCD显示模块 (8) 4 设计验证 (8) 5 总结 (11)

1设计概述 信号发生器作为一种历史悠久的测量仪器,早在20年代电子设备刚出现时就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使得信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。同时还出现了可用来测量脉冲电路或作脉冲调制器的脉冲信号发生器。 自60年代以来信号发生器有了迅速的发展,出现了函数发生器。这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。 自从70年代微处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对D/A的程序控制,就可以得到各种简单的波形。 在80年代以后,数字技术日益成熟,信号发生器绝大部分不再使用机械驱动而采用数字电路,从一个频率基准有数字合成电路产生可变频率信号。 90年代末出现了集中真正高性能的函数信号发生器,HP公司推出了型号为HP770S的信号模拟装置系统,它是由HP8770A任意波形数字化和HP1770A波形发生软件组成。 信号发生器技术发展至今,引导技术潮流的仍是国外的几大仪器公司,如日本横河、Agilent、Tektronix等。美国的FLUKE公司的FLUKE-25型函数发生器是现有的测试仪器中最具多样性功能的几种仪器之一,它和频率计数器组合在一起,在任何条件下都可以给出很高的波形质量,能给出低失真的正弦波和三角波,还能给出过冲很小的快沿方波,其最高频率可达到5MHz,最大输出幅度可达到10Vpp。 国内也有不少公司已经有了类似的仪器。如南京盛普仪器科技有限公司的SPF120DDS信号发生器,华高仪器生产的HG1600H型数字合成函数\任意波形信号发生器。国内信号发生器起步晚,但发展至今,已经渐渐跟上国际的脚步,能够利用高新技术开发出达到国际水平的高性能多功能信号发生器。 信号发生器在生产实践和科技领域中有着广泛的应用,各种波形曲线均可用三角函数方程式来表达。函数信号发生器是各种测试和实验过程中不可缺少的工具,在通信、测量 雷达、控制教学等领域应用十分广泛。不论是在生产、科研还是在教学上,信号发生器都是电子工程师信号仿真实验的最佳工具。而且,信号发生器的设计

四相顺序脉冲发生器1

电子创新设计论文 题目:四相顺序脉冲发生器设计制作 班级: D09电气自动化一班 姓名:姜伟华李烨华 学号: 0903210118 0903210119 指导教师:于强赵波 2010年11月

摘要 本系统采用自动脉冲发生技术,控制过程是利用74ls系列中的00.160.90.138.139及对应集成块座构成的系统。通过与非门控制信号输出。由于使用了自动脉冲发生技术,该系统具有可靠性好,精度高等优点。 关键字:顺序脉冲时钟脉冲触发器计数器

Abstract The system adopts the automatic pulse generating technology, control process is to use the 74ls series 00.160.90.138.139 and corresponding system consisting of integrated blocks seat. Through and sr control signal output. By using automatic pulse generating technology, the system has good reliability, high precision of advantages. Key word: order pulse clock pulse flip-flop counter

目录 一、引言....................................... 错误!未定义书签。 二、设计要求 (6) 三、系统设计与理论分析 (7) 3.1电源模块 (7) 3.2计数器模块 (8) 3.3转换电路模块 (9) 3.4延时模块 (9) 3.5发光二极管模块 (10) 四、主要硬件流程图 (11) 五、结论 (13) 六、总结体会 (14) 七、附主要程序清单 (15) 十、【参考文献】 (16) 附件原理图

基于51单片机的波形发生器的设计讲解

目录 1 引言 (1) 1.1 题目要求及分析 (1) 1.1.1 示意图 (1) 1.2 设计要求 (1) 2 波形发生器系统设计方案 (2) 2.1 方案的设计思路 (2) 2.2 设计框图及系统介绍 (2) 2.3 选择合适的设计方案 (2) 3 主要硬件电路及器件介绍 (4) 3.1 80C51单片机 (4) 3.2 DAC0832 (5) 3.3 数码显示管 (6) 4 系统的硬件设计 (8) 4.1 硬件原理框图 (8) 4.2 89C51系统设计 (8) 4.3 时钟电路 (9) 4.4 复位电路 (9) 4.5 键盘接口电路 (10) 4.7 数模转换器 (11) 5 系统软件设计 (12) 5.1 流程图: (12) 5.2 产生波形图 (12) 5.2.1 正弦波 (12) 5.2.2 三角波 (13) 5.2.3 方波 (14) 6 结论 (16) 主要参考文献 (17) 致谢...................................................... 错误!未定义书签。

1引言 1.1题目要求及分析 题目:基于51单片机的波形发生器设计,即由51单片机控制产生正弦波、方波、三角波等的多种波形。 1.1.1示意图 图1:系统流程示意图 1.2设计要求 (1) 系统具有产生正弦波、三角波、方波三种周期性波形的功能。 (2) 用键盘控制上述三种波形(同周期)的生成,以及由基波和它的谐波(5次以下)线性组合的波形。 (3) 系统具有存储波形功能。 (4) 系统输出波形的频率范围为1Hz~1MHz,重复频率可调,频率步进间隔≤100Hz,非正弦波的频率按照10次谐波来计算。 (5) 系统输出波形幅度范围0~5V。 (6) 系统具有显示输出波形的类型、重复频率和幅度的功能。

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

可控脉冲发生器的设计

可控脉冲发生器的设计 一、 实验目的 1、 了解可控脉冲发生器的实现机理。 2、 学会用示波器观察FPGA 产生的信号。 3、 学习用VHDL 编写复杂功能的代码。 二、 实验原理 脉冲发生器就是要产生一个脉冲波形,而可控脉冲发生器则是要产生一个周期和占空比可变的脉冲波形。可控脉冲发生器的实现原理比较简单,可以简单的理解为一个计数器对输入的时钟信号进行分频的过程。通过改变计数器的上限值来达到改变周期的目的,通过改变电平翻转的阈值来达到改变占空比的目的。下面举个简单的例子来说明其工作原理。 假如有一个计数器T 对时钟分频,其计数的范围是从0~N ,另取一个 M (0≤M ≤N ),若输出为Q ,那么Q 只要满足条件 时,通过改变N 值,即可改变输出的脉冲波的周期;改变M 值,即可改变脉冲波的占空比。这样输出的脉冲波的周期和占空比分别为: 三、 实验内容 编写实现可控脉冲发生器程序,通过脉冲周期和占空比改变实现不同脉冲的输出。用Quartu s 软件对设计进行编译、综合、仿真,给出相应的时序仿真波形和硬件电路图。 四、 实验程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity exp10 is port( Clk : in std_logic; --时钟输入 Rst : in std_logic; --复位输入 ???≤≤<≤=N T M M T Q 001%1001 )1(?+=+=N M T N CLOCK 占空比周期

简易矩形波发生器报告

数字电路设计研讨 --简易矩形波信号发生器 姓名:尹晨洋 学号:13211023 班级:通信1301 同组成员:程永涛 学号:13211007 指导老师:任希

目录 一、综述************************************************************ 1 二、电路元件结构及工作原理***************************** 1 1)、555计数器******************************************************** 1 2)、74ls160同步计数器************************************************ 2 3)、74ls175 4位寄存器************************************************* 4三、频率可调的矩形波发生器***************************** 4 1)、频率可调的矩形波发生器电路图仿真电路图******************************* 4 2)、频率可调的矩形波发生器工作原理分析*********************************** 4 3)、仿真结果分析******************************************************** 5四、可显示频率计数器***************************************** 6 1)、可显示频率计数器仿真电路图******************************************** 6 2)、工作原理分析********************************************************* 6 3)、仿真结果分析********************************************************** 7 4)、实验误差************************************************************** 9 五、总结与体会************************************************** 9 六、参考文献*******************************************************

顺序脉冲产生电路设计

沈阳航空航天大学 课程设计 (说明书) 顺序脉冲产生电路设计 班级计算机1304 学号2013040101178 学生姓名万延正 指导教师孙克梅

沈阳航空航天大学 课程设计任务书 课程名称数字逻辑课程设计 课程设计题目顺序脉冲产生电路设计 课程设计的内容及要求: 一、设计说明与技术指标 要求设计一个顺序脉冲产生电路,能将预先设定的并行数据转换为串行脉冲输出,具体要求如下: ①电路具有16个按键用来设定输入16个并行数据的高低电平; ②具有启动按键,每按一次启动键,电路就串行输出预先设定的16个数据; ③输出完16个数据位后电路停止,输出恒为0; ④具有输出信号指示灯,表明输出信号的高低电平,灯亮表示1,不亮表示0; ⑤具有时钟信号指示灯,在每个式中信号周期内闪烁一次。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1.阎石主编.数字电子技术基础.[M]北京:高等教育出版社,2006年 2.赵淑范,王宪伟主编.电子技术实验与课程设计.[M]北京:清华大学出版社,2006年 3.孙肖子、邓建国等主编. 电子设计指南. [M]北京:高等教育出版社,2006年 4.杨志忠主编. 电子技术课程设计. [M]北京:机械工业出版社,2008年 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 2015 年7 月19 日

一、概述 在数控装置和数字计算机中,往往需要机器按照人们事先规定的顺序进行运算和操作,这就要求控制电路不仅能正确的发出各种控制信号,而且要求这些控制信号在时间上有一定的先后顺序,能完成这样功能的电路称为顺序脉冲发生器。该顺序脉冲由555定时器产生,用16个开关设定输入16个并行数据的高低电平,每次按键,电路就会串行输出预先设定的16个数,输出完16个数据位后电路停止,输出恒为0。该电路具有输出信号指示灯,灯亮的次数表示输入高电平的个数。在每个周期内,时钟指示灯只闪烁一次。 一、方案论证 根据实验要求,我选取两片74LS165芯片将其串联,74LS165芯片是并行输入, 串行输出移位寄存器。从而实现电路具有16个按键用来设定输入16个并行数据的高低电平。电路主要由顺序脉冲产生电路,移位寄存电路,状态指示电路,电源电路组成。原理图如图1所示: 图1 总电路框架图 二、电路设计 1、时钟脉冲产生电路如图2所示。 图2 时钟脉冲产生电路

简易波形发生器设计报告

电子信息工程学院 硬件课程设计实验室课程设计报告题目:波形发生器设计 年级:13级 专业:电子信息工程学院学号:201321111126 学生姓名:覃凤素 指导教师:罗伟华 2015年11月1日

波形发生器设计 波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。 波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。 一、设计要求: (1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调; (3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录; (6) 给出分析过程、电路图和记录的波形。 扩展部分: (1)产生一组锯齿波,频率范围为10Hz~100Hz , V V 8p -p =; (2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。 二、技术指标 (1) 频率范围:100Hz~1kHz,1kHz~10kHz ; (2) 输出电压:方波V V 24p -p ≤,三角波V V 6p -p =,正弦波V V 1p -p ≥; (3) 波形特性:方波s t μ30r < (1kHz ,最大输出时),三角波%2V <γ ,正弦波y~<2%。 三、选材: 元器件:ua741 2个,3DG130 4个,电阻,电容,二极管 仪器仪表: 直流稳压电源,电烙铁,万用表和双踪示波器 四、方案论证 方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

基于VHDL的可控脉冲发声器 设计

可控脉冲发生器的设计 1.设计要求: 实现周期、占空比均可调的脉冲发生器。 (1)采用1khz 的工作时钟; (2)脉冲周期0.5s~6s ,占空比10%~90%; (3)可初始化:周期2.5s ,占空比50%; 2.实验目的 1、了解可控脉冲发生器的实现机理。 2、学会用示波器观察FPGA 产生的信号。 3、学习用VHDL 编写复杂功能的代码。 3.实验原理: 脉冲发生器就是要产生一个脉冲波形,而可控脉冲发生器则是要产生一个周期和占空比可变的脉冲波形。可控脉冲发生器的实现原理比较简单,可以简单的理解为一个计数器对输入的时钟信号进行分频的过程。通过改变计数器的上限值来达到改变周期的目的,通过改变电平翻转的阈值来达到改变占空比的目的。下面举个简单的例子来说明其工作原理。 假如有一个计数器T 对时钟分频,其计数的范围是从0~N ,另取一个 M (0≤M ≤N ),若输出为Q ,那么Q 只要满足条件 时,通过改变N 值,即可改变输出的脉冲波的周期;改变M 值,即可改变脉冲波的占空比。这样输出的脉冲波的周期和占空比分别为: 4.实验内容: 编写实现可控脉冲发生器程序,通过脉冲周期和占空比改变实现不同脉冲的输出。用Quartu s 软件对设计进行编译、综合、仿真,给出相应的时序仿真波形和硬件电路图。 ???≤≤<≤=N T M M T Q 001%1001)1(?+=+=N M T N CLOCK 占空比周期

5.程序设计及仿真: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity exp10 is port( Clk : in std_logic; --时钟输入 Rst : in std_logic; --复位输入 NU,ND : in std_logic; --输入:控制频率的改变 MU,MD : in std_logic; --输入:控制占空比的改变 Fout : out std_logic --波形输出 ); end exp10; architecture behave of exp10 is signal N_Buffer,M_Buffer : std_logic_vector(10 downto 0); signal N_Count :std_logic_vector(10 downto 0); signal clkin : std_logic; signal Clk_Count : std_logic_vector(12 downto 0); --产生一个低速时钟,用于按键判断 begin process(Clk) --计数器累加 begin if(Clk'event and Clk='1') then if(N_Count=N_Buffer) then N_Count<="00000000000"; else N_Count<=N_Count+1; end if; end if; end process; process(Clk) --波形判断

脉冲信号发生器设计

脉冲信号发生器 摘要:本实验是采用fpga方式基于Alter Cyclone2 EP2C5T144C8的简易脉冲信号发生器,可以实现输出一路周期1us到10ms,脉冲宽度:0.1us到周期-0.1us,时间分辨率为 0.1us的脉冲信号,并且还能输出一路正弦信号(与脉冲信号同时输出)。输出模式 可分为连续触发和单次手动可预置数(0~9)触发,具有周期、脉宽、触发数等显示功能。采用fpga计数实现的电路简化了电路结构并提高了射击精度,降低了电路功耗和资源成本。 关键词:FPGA;脉冲信号发生器;矩形脉冲;正弦信号; 1 方案设计与比较 脉冲信号产生方案: 方案一、采用专用DDS芯片的技术方案: 目前已有多种专用DDS集成芯片可用,采用专用芯片可大大简化系统硬件制作难度,部数字信号抖动小,输出信号指标高;但专用芯片控制方式比较固定,最大的缺点是进行脉宽控制,测量困难,无法进行外同步,不满足设计要求。 方案二、单片机法。 利用单片机实现矩形脉冲,可以较方案以更简化外围硬件,节约成本,并且也可以实现灵活控制、能产生任意波形的信号发生器。但是单片机的部时钟一般是小于25Mhz,速度上无法满足设计要求,通过单片机产生脉冲至少需要三条指令,所需时间大于所要求的精度要求,故不可取。 方案二:FPGA法。利用了可编程逻辑器件的灵活性且资源丰富的特点,通过Quartus 软件的设计编写,实现脉冲信号的产生及数控,并下载到试验箱中,这种方案电路简单、响应速度快、精度高、稳定性好故采用此种方案。 2 理论分析与计算 脉冲信号产生原理:输入量周期和脉宽,结合时钟频率,转换成两个计数器的容量,用来对周期和高电平的计时,输出即可产生脉冲信号。 脉冲信号的精度保证:时间分辨率0.1us,周期精度:+0.1%+0.05us,宽度精度:

多种波形发生器的设计与制作

课题三 多种波形发生器的设计与制作 方波、三角波、脉冲波、锯齿波等非正弦电振荡信号是仪器仪表、电子测量中最常用的波形,产生这些波形的方法较多。本课题要求设计的多种波形发生器是一种环形的波形发生器,方波、三角波、脉冲波、锯齿波互相依存。电路中应用到模拟电路中的积分电路、过零比较器、直流电平移位电路和锯齿波发生器等典型电路。通过对本课题的设计与制作,可进一步熟悉集成运算放大器的应用及电路的调试方法,提高对电子技术的开发应用能力。 1、 设计任务 设计并制作一个环形的多种波形发生器,能同时产生方波、三角波、脉冲波和锯齿波,它们的时序关系及幅值要求如图3-3-1所示。 图3-3-1 波形图 设计要求: ⑴ 四种波形的周期及时序关系满足图3-3-1的要求,周期误差不超过%1±。 ⑵ 四种波形的幅值要求如图3-3-1所示,幅值误差不超过%10±。 ⑶ 只允许采用通用器件,如集成运放,选用F741。

要求完成单元电路的选择及参数设计,系统调试方案的选取及综合调试。 2、设计方案的选择 由给定的四种波形的时序关系看:方波决定三角波,三角波决定脉冲波,脉冲波决定锯齿波,而锯齿波又决定方波。属于环形多种波形发生器,原理框图可用3-3-2表示。 图3-3-2 多种波形发生器的方框图 仔细研究时序图可以看出,方波的电平突变发生在锯齿波过零时刻,当锯齿波的正程过零时,方波由高电平跳变为低电平,故方波发生电路可由锯齿波经一个反相型过零比较器来实现。三角波可由方波通过积分电路来实现,选用一个积分电路来完成。图中的u B电平显然上移了+1V,故在积分电路之后应接一个直流电平移位电路,才能获得符合要求的u B波形。脉冲波的电平突变发生在三角波u B的过零时刻,三角波由高电平下降至零电位时,脉冲波由高电平实跳为低电平,故可用一个同相型过零比较器来实现。锯齿波波形仍是脉冲波波形对时间的积分,只不过正程和逆程积分时常数不同,可利用二极管作为开关,组成一个锯齿波发生电路。由上,可进一步将图3-3-2的方框图进一步具体化,如图3-3-3所示。 图3-3-3 多种波形发生器实际框图 器件选择,设计要求中规定只能选用通用器件,由于波形均有正、负电平,应选择由正、负电源供电的集成运放来完成,考虑到重复频率为100Hz(10ms),故选用通用型运放F741(F007)或四运放F324均可满足要求。本设计选用F741。其管脚排列及功能见附录三之三。

浅谈利用单片机设计PWM脉冲信号发生器

浅谈利用单片机设计PWM脉冲信号发生器 发表时间:2014-01-09T11:41:33.297Z 来源:《中国科技教育·理论版》2013年第11期供稿作者:王雪娇胡恒铮 [导读] 除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 王雪娇胡恒铮无锡技师学院 214153 摘要脉冲宽度调制(PWM)在电子技术领域中应用十分广泛,但是利用模拟电路实现脉宽调制功能十分复杂、不经济。随着微处理器的发展,运用数字输出方式去控制实现PWM的功能就变得简单快捷,本文就如何利用89S52单片机软件编程设计出周期一定而占空比可调的脉冲波,也就是实现PWM功能进行设计,它可以代替模拟电路的PWM脉冲信号发生器。 关键词单片机 PWM 数字控制 PWM是脉冲宽度调制(Pulse Width Modulation)的英文缩写,它是开关型稳压电源中按稳压的控制方式分类中的一种,而脉宽宽度调制式(PWM)开关型稳压电路是在控制电路输出频率不变的情况下,通过电压反馈调整其占空比,从而达到稳定输出电压的目的。 简单的说,PWM是一种对模拟信号电平进行数字编码的方法。理论上讲就是电压或电流源以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的,通的时候就是电源被加到负载上,断的时候就是供电被断开的时候,所以PWM信号仍然是数字的。要想达到这样一种脉宽调制效果,模拟电压和电流时可以直接控制。例如音响的音量控制,在简单的模拟电路中,它的控制是由连接了一个可变电阻的旋钮来实现的,其过程是拧动旋钮,电阻值变小或变大,流过该电阻的电流也随之增加来减小,从而改变驱动扬声器的电流值,那么声音也就相应变大或变小。从这个例子来看,模拟控制是直观而简单的,但是并不是所有的模拟电路都是可行并且经济的,其中很重要的一点就是模拟电路容易随时间漂移,它的调节过程就很困难,为了解决问题就要增加很多的电路,使得电路变得复杂并且昂贵。除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 综上所述,通过数字方式来控制模拟电路可以大幅度降低系统的成本和功耗,而单片机I/O口的数字输出可以很简单地发出一个脉冲波,在配以外部元器件就可以调节脉冲波的占空比,完成PWM的功能。本文主要介绍利用89S52系列的单片机,控制某个I/O口中一个管脚的数字输出,生成相应周期的脉冲波,并利用按键控制其占空比的调节,包括了占空比自小到大和自大到校的顺序及倒序可调,其调节范围广,操作简便,各元器件间的干扰较小,对模拟电路的控制十分有效。 1.PWM波的生成 PWM波既为数字输出,就是其幅值只有高电平(ON)和低电平(OFF)之分,所以只要使单片机中作为PWM波输出端的那个管脚输出“1”和“0”,并且搭配不同的时间段,就可以形成不同周期的PWM波。举例说明:若要生成周期为10ms的脉冲,就可以利用单片机编程指令控制其输出端输出“1”,并且保持一段时间tp,然后再输出“0”,同样使其保持一段时间tr,两种数字输出保持的时间必须要满足,现就已生成10ms周期的脉冲波,而PWM波与该脉冲波的区别就是还要能够调节占空比。占空比是指正半周脉宽占整个周期的比例,即高电平保持时间于周期的比值,该比值为百分数(),因此在周期一定的情况下,调节占空比就是调节高电平保持的时间。 2.应用编程 本文介绍的PWM波是利用单片机定时中断去确定脉冲波的周期,并且通过两个按键自增和自减某个变量送至中断中,通过此变量去分配高低电平各自占用的时间,形成不同的占空比,即假设一个周期满额比例值为10,则高电平保持时间的比例为该变量值,那么低电平保持时间的比例就是10减去该变量值。 如图1所示为单片机的外部接线图,其中省略了单片机最小系统,此图即可利用89SC52单片机设计出满足周期为10ms、初始占空比为50%、占空比调节范围为0~100%的PWM脉冲信号发生器。占空比调节范围是指高电平保持时间为0~10ms,那么低电平保持时间就是10ms~0。P0.7脚为PWM波输出口,作为PWM脉冲信号发生器可连接其它电路,本文仅连接示波器去观察波形的占空比变化情况,P2.0脚为自增按钮控制端,每按一次高电平保持时间增加1ms,P2.1脚为自减按钮控制端,每按一次高电平保持时间减少1ms。图2所示为初始

相关主题
文本预览
相关文档 最新文档