当前位置:文档之家› 数字电路设计数字电路应用设计

数字电路设计数字电路应用设计

数字电路设计数字电路应用设计
数字电路设计数字电路应用设计

数字电路设计数字电路应用设计

数字电路应用设计。本书从实用设计方法出发。

通信及相关专业师生的参考用书。也可供电路设计及研发人员参

考阅读。

书名,数字电路应用设计。作者,关静。ISBN,9787030257796。定价,32.00 元。出版社,科学出版社。出版时间,xx-11-1。装帧,平装。开本,16开。

基本信息。数字电路应用设计作者:关静编著出版社:科学

出版社出版时间: xx-11-1开本: 16开I S B N: 9787030257796定价:¥32.00。

内容简介。本书从实用设计方法出发。结合实际应用。

也可供电路设计及研发人员参考阅读。

目录。第1章数字电路实用设计基础1.1 数字集成电路的分类。

特点及注意事项1.2 数字逻辑电路的测试方法1.3 基本逻辑门

电路的测试方法1.4 典型集成逻辑门电路部件逻辑门等等。逻辑门

可以组合使用实现更为复杂的逻辑运算。1.5 组合逻辑电路的分析与设计逻辑运算又称布尔运算布尔用数学方法研究逻辑问题。成功地

建立了逻辑演算。他用等式表示判断。把推理看作等式的变换。这种变换的有效性不依赖人们对符号的解释。

只依赖于符号的组合规律。这一逻辑理论人们常称它为布尔代数。20世纪30年代。逻辑代数在电路系统上获得应用。随后。由于电子技术与计算机的发展。出现各种复杂的大系统。它们的变换规律也遵

守布尔所揭示的规律。逻辑运算通常用来测试真假值。最常见到的逻辑运算就是循环的处理。用来判断是否该离开循环或继续执行循环内的指令。1.6 电路的安装与调试1.7 TTL集电极开路门与三态输出门的应用集电极开路门。即OC门。

是一种能够实现线逻辑的电路。OC与非门电路的特点是将原TTL 与非门电路中的VT3管集电极开路。并取消集成电极电阻。所以。使用OC门时。为保证电路正常工作。必须外接一只RL电阻与电源VCC 相连。称为上拉电阻。如图2所示。1.8 数字IC的接口电路1.9 数字电路的抗干扰问题第2章电子计数器。秒表的制作2.1 电子计数器的制作2.1.1 集成计数器74LS1602.1.2 数码管显示单元2.1.3 计数器电路图与实际制作2.1.4 调整和使用方法2.2 秒表的制作2.2.1 钟表的工作2.2.2 秒表的制作及调整2.2.3 使用BCD计数器和十进制计数器的方法第3章电子储钱罐的设计与制作3.1 设计思路3.2 光电传感器与锁存器部分电路3.2.1 光电传感器光电传感器是采用光电元件作为检测元件的传感器。

它首先把被测量的变化转换成光信号的变化。然后借助光电元件进一步将光信号转换成电信号。光电传感器一般由光源。光学通路和光电元件三部分组成。光电检测方法具有精度高。反应快。非接触等优点。而且可测参数多。传感器的结构简单。形式灵活多样。因此,光电式传感器在检测和控制中应用非常广泛。光电传感器是各种光电检测系统中实现光电转换的关键元件。它是把光信号转变成为电信号的器件。光电式传感器是以光电器件作为转换元件的传感器。

它可用于检测直接引起光量变化的非电量。如光强。光照度。辐

射测温。气体成分分析等;也可用来检测能转换成光量变化的其他非电量。如零件直径。表面粗糙度。应变。

由光通量对光电元件的作用原理不同所制成的光学测控系统是多

种多样的,按光电元件输出量性质可分二类,即模拟式光电传感器和

脉冲式光电传感器.模拟式光电传感器是将被测量转换成连续变化的

光电流,它与被测量间呈单值关系.模拟式光电传感器按被测量方法

可分为透射式,漫反射式,遮光式三大类.所谓透射式是指被测物体放

在光路中,恒光源发出的光能量穿过被测物,部份被吸收后,透射光投

射到光电元件上;所谓漫反射式是指恒光源发出的光投射到被测物上,再从被测物体表面反射后投射到光电元件上;所谓遮光式是指当光源

发出的光通量经被测物光遮其中一部份,使投射到光电元件上的光通

量改变,改变的程度与被测物体在光路位置有关.3.2.2 利用光电传

感器判别硬币大小的过程3.2.3 光电传感器的使用方法3.2.4 锁存

器锁存器是一种对脉冲电平敏感的存储单元电路。

它们可以在特定输入脉冲电平作用下改变状态。锁存。就是把信

号暂存以维持某种电平状态。锁存器的最主要作用是缓存。其次完成高速的控制其与慢速的外设的不同步问题。再其次是解决驱动的问题。最后是解决一个 I/O 口既能输出也能输入的问题。只有在有锁存信

号时输入的状态被保存到输出。直到下一个锁存信号。通常只有0和1两个值。典型的逻辑电路是D触发器。由若干个钟控D触发器构成的一次能存储多位二进制代码的时序逻辑电路。

叫锁存器件。逻辑结构与功能表8位锁存器74LS373的逻辑图见图所示。其中使能端G加入CP信号。D为数据信号。输出控制信号为0时。锁存器的数据通过三态门进行输出。3.3 译码电路部分3.3.1 真值表3.3.2 设计简单的组合逻辑电路3.4 脉冲发生电路部分

3.4.1 发生5个脉冲的电路3.4.2 用预置计数器产生门脉冲3.4.3 寸输入信号的限制3.5 计数器电路部分3.6 制作要点第4章自行车用速度计的制作4.1 速度计的原理4.1.1 萎度检测器4.1.2 准确的速度计4.2 设计思路4.3 具体电路设计4.3.1 基准脉冲发生部分4.3.2 检测部分4.3.3 计数器计数器就是实现运算的逻辑电路。

计数器在数字系统中主要是对脉冲的个数进行计数。以实现测量。计数和控制的功能。同时兼有分频功能。计数器是由基本的计数单元和一些控制门所组成。计数单元则由一系列具有存储信息功能的各类触发器构成。这些触发器有RS触发器。T触发器。D触发器及JK触发器等。计数器在数字系统中应用广泛。如在电子计算机的控制器中对指令地址进行计数。以便顺序取出下一条指令。在运算器中作乘法。除法运算时记下加法。减法次数。又如在数字仪器中对脉冲的计数等等。

计数器可以用来显示产品的工作状态。一般来说主要是用来表示产品已经完成了多少份的折页配页工作。它主要的指标在于计数器的位数。常见的有3位和4位的。很显然。3位数的计数器最大可以显示到999。4位数的最大可以显示到99994.3.4 锁存器及译码显示4.4 速度计的制作与调试4.4.1 速度计的制作4.4.2 实际使用第5章出

租车计费器的设计与制作5.1 设计要求5.2 设计框图5.3 各单元电路设计5.3.1 里程计费电路设计5.3.2 等候时间计费电路5.3.3 计数。

锁存及显示电路5.3.4 寸钟电路5.3.5 置位电路和脉冲产生电

路的设计第6章 4路红外遥控电路的设计6.1 红外遥控原理6.1.1 红外发射器件及其驱动电路6.1.2 红外 * 件与电路6.2 红外遥控

信号的组成6.2.1 红外遥控信号的特点6.2.2 实用红外遥控信号6.3 红外信号调制电路6.3.1 振荡电路6.3.2 调制电路6.3.3 实用红外调制发射电路6.4 红外遥控信号的解调6.4.1 解调的基本原理6.4.2 红外遥控接收。

放大。解调电路CXxx6A6.4.3 一体化红外遥控 * 6.5 通用遥控编解码/译码电路6.6 4路红外遥控实验电路第7章电风扇变速超声波遥控电路的设计7.1 超声波传感器7.2 超声波发射与接收7.2.1 超声波的发射7.2.2 接收电路7.2.3 音频解码电路7.3 电风扇变速超声波遥控电路7.3.1 电风扇变速原理及其遥控系统7.3.2 发射装置7.3.3 接收装置第8章复印机逻辑控制电路设计8.1 设计思路8.2 具体电路设计8.2.1 键盘编码电路8.2.2 寄存器8.2.3 减计数控制电路8.2.4 译码显示电路第9章单片机应用实例9.1 用Holtek 单片机设计数字电压表9.1.1 数字电压表的硬件设计9.1.2 单片机软件设计9.2 使用SHT75制作数字温。

湿度计9.2.1 SHT75的工作原理9.2.2 温。湿度计硬件结构9.2.3 SHT75的软件编程9.3 使用MS5540B制作数字气压计9.3.1 MS5540B

的工作原理9.3.2 使用MS5540B制作数字气压计的硬件设计9.3.3 MS5540B的软件编程9.4 用GPS模块制作卫星时钟9.4.1 GPS模块的选取9.4.2 卫星时钟的硬件电路设计9.4.3 卫星时钟的软件设计第10章 VHDL10.1 VHDL概述10.1.1 VHDL的特点10.1.2 VHDL的基本结构10.1.3 VHDL的库和程序包10.1.4 VHDL的实体10.1.5 VHDL的结构体10.2 VHDL语言设计实例10.2.1 组合电路设计10.2.2 时序电路设计10.3 MAX+PLUS II与VHDL语言。

内容仅供参考

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

数字电路与逻辑设计模拟题

《数字电路与逻辑设计》模拟题(补) 一. 选择题(从四个被选答案中选出一个或多个正确答案,并将代号写在题中的括号内) 1.EEPROM 是指( D ) A. 随机读写存储器 B. 一次编程的只读存储器 C. 可擦可编程只读存储器 D. 电可擦可编程只读存储器 2.下列信号中,( B C )是数字信号。 A .交流电压 B.开关状态 C.交通灯状态 D.无线电载波 3.下列中规模通用集成电路中,( B D )属于时序逻辑电路. A.多路选择器74153 B.计数器74193 C.并行加法器74283 D.寄存器74194 4.小数“0”的反码形式有( A D )。 A .0.0……0 B .1.0……0 C .0.1……1 D .1.1……1 5.电平异步时序逻辑电路不允许两个或两个以上输入信号(C )。 A .同时为0 B. 同时为1 C. 同时改变 D. 同时作用 6.由n 个变量构成的最大项,有( D )种取值组合使其值为1。 A. n B. 2n C. n 2 D. 12-n 7.逻辑函数∑= )6,5,3,0(),,(m C B A F 可表示为( B C D ) 。 A.C B A F ⊕⊕= B.C B A F ⊕⊕= C.C B A F ⊕⊕= D.C B A F ⊙⊙= 8.用卡诺图化简包含无关条件的逻辑函数时,对无关最小项( D )。 A .不应考虑 B.令函数值为1 C .令函数值为0 D .根据化简的需要令函数值为0或者1 9.下列逻辑门中,( D )可以实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 10.设两输入或非门的输入为x 和y ,输出为z ,当z 为低电平时,有( A B C )。 A .x 和y 同为高电平 B . x 为高电平,y 为低电平 C .x 为低电平,y 为高电平 D . x 和y 同为低电平 11.下列电路中,( A D )是数字电路。 A .逻辑门电路 B. 集成运算放大器 C .RC 振荡电路 D. 触发器 12.在下列触发器中,输入没有约束条件的是( C D )。 A.时钟R-S 触发器 B.基本R-S 触发器 C.主从J-K 触发器 D.维持阻塞D 触发器 13.标准与-或表达式是由( B )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 14.设计一个模10计数器需要( B )个触发器。 A . 3 B. 4 C .6 D .10 15.表示任意两位无符号十进制数至少需要( B )二进制数。 A .6 B .7 C .8 D .9 16.4线-16线译码器有( D )输出信号。 A . 1 B. 4 C .8 D .16

数字电路与逻辑设计实验实验四

中山大学南方学院 电气与计算机工程学院 课程名称:数字电路与逻辑设计实验实验题目:译码显示电路

附:实验报告 专业:电子信息科学与技术年级:18 完成日期:2020年7月05日学号:182018010 姓名:叶健行成绩: 一、实验目的 (一)掌握中规模集成译码器的逻辑功能和使用方法。 (二)熟悉数码管的使用。 二、实验原理 (一)数码显示译码器 1、七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图1 (a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。 (a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动)

(c) 符号及引脚功能 图1 LED 数码管 2、BCD 码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD 码锁存/七段译码/驱动器。驱动共阴极LED 数码管。图2为74LS48引脚排列。 其中 A 、B 、C 、D — BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 (二)扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds 的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片 LED

数字电路设计实例

数字电路综合设计案例 8.1 十字路口交通管理器 一、要求 设计一个十字路口交通管理器,该管理器自动控制十字路口两组红、黄、绿三色交通灯,指挥各种车辆和行人安全通过。 二、技术指标 1、交通管理器应能有效操纵路口两组红、黄、绿灯,使两条交叉道路上的车辆交替通行,每次通行时间按需要和实际情况设定。 2、在某条道路上有老人、孩子或者残疾人需要横穿马路时,他们可以举旗示意, 执勤人员按动路口设置的开关,交通管理器接受信号,在路口的通行方向发生转换时,响应上述请求信号,让人们横穿马路,这条道上的车辆禁止通行,即管理这条道路的红灯亮。 3、横穿马路的请求结束后,管理器使道口交通恢复交替通行的正常状态。 三、设计原理和过程: 本课题采用自上而下的方法进行设计。 1.确定交通管理器逻辑功能 ⑴、十字路口每条道路各有一组红、黄、绿灯,用以指挥车辆和行人有序地通行。其中红灯亮表示该条道路禁止通行;黄灯亮表示停车;绿灯亮表示通行。因此,十字路口车辆运行情况有以下几种可能: ①甲道通行,乙道禁止通行; ②甲道停车线以外的车辆禁止通行(必须停车),乙道仍然禁止通行,以便让甲道停车线以内的车辆安全通过; ③甲道禁止通行,乙道通行; ④甲道仍然不通行,乙道停车线以外的车辆必须停车,停车线以内的车辆顺利通行。 ⑵、每条道路的通车时间(也可看作禁止通行时间)为30秒~2分钟,可视需要和实际情况调整,而每条道路的停车时间即黄灯亮的时间为5秒~10秒,且也可调整。 ⑶、响应老人、孩子或残疾人特殊请求信号时,必须在一次通行—禁止情况完毕后, 阻止要求横穿的那条马路上车辆的通行。换句话说,使另一条道路增加若干通行时间。 设S1和S2分别为请求横穿甲道和乙道的手控开关,那么,响应S1或S2的时间必定在甲道通乙道禁止或甲道禁止乙道通两种情况结束时,且不必过黄灯的转换。这种规定是为了简化设计。 由上述逻辑功能,画出交通管理器的示意图如图8-1所示,它的简单逻辑流程图如图8-2所示。示意图中甲道的红、黄、绿灯分别用R、Y、G表示,而乙道的红、黄、绿灯分别用r、y、g表示。简单逻辑流程图中设定通行(禁止)时间为60秒,停车时间为10秒。

数字电路与逻辑设计习题-2016

数字电路与逻辑设计习题-2016

- 2 - 一、选择题 1. 以下表达式中符合逻辑运算法则的是 D 。 A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 2. 一位十六进制数可以用 C 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 3. 当逻辑函数有n 个变量时,共有 D 个变量取值组合? A. n B. 2n C. n 2 D. 2n 4. 逻辑函数的表示方法中具有唯一性的是 A 。 A .真值表 B.表达式 C.逻辑图 D.状态图 5. 在一个8位的存储单元中,能够存储的最大无符号整数是 D 。 A.(256)10 B.(127)10 C.(128)10 D.(255)10 6.逻辑函数F=B A A ⊕⊕)( = A 。 A.B B.A C.B A ⊕ D. B A ⊕ 7.求一个逻辑函数F 的对偶式,不可将F 中的 B 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” 8.A+BC= C 。

A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 9.在何种输入情况下,“与非”运算的结果是 逻辑0。 D A.全部输入是0 B.任一输入是0 C. 仅一输入是0 D.全部输入是1 10.在何种输入情况下,“或非”运算的结果 是逻辑1。 A A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为 1 11.十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 12.不与十进制数(53.5)10等值的数或代码 为 C 。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.11)2 D.(65.4)8 13.以下参数不是矩形脉冲信号的参数 D 。 A.周期 B.占空比 C.脉宽 D.扫 描期 14.与八进制数(47.3)8等值的数为: B A. (100111.0101)2 B.(27.6)16 C.(27.3 )16 D. (100111.101)2 15. 常用的BCD码有 D 。 A.奇偶校验码 B.格雷码 C.ASCII码 D.余三码 - 3 -

数字电路与逻辑设计实验总结报告

第二次实验是Quartus11原理图输入法设计,由于是第一次使用Quartus11软 件,实验中遇到了不少问题,总结起来主要有以下几个: (1)在创建工程并且编译通过之后得不到仿真波形 解决方法:经过仔细检查,发现在创建符号文件时,未对其重新命名,使得符号文件名与顶层文件的实体名一样。在改变符号文件名之后成功的得到了仿真波形。 (2)得到的仿真波形过于紧密不便于观察 解决方法:重新对仿真域的时间进行设定,并且对输入信号的周期做相应的调整,最终得到了疏密有致的仿真波形。 实验总结及心得体会 通过本次实验我初步掌握了Quartus11的使用方法,并且熟悉了电路板的使用。在实验具体操作的过程中,对理论知识(半加器和全加器)也有了更近一步的理解,真正达到了理论指导实践,实践检验理论的目的。 实验操作中应特别注意的几点: (1)刚开始创建工程时选择的目标芯片一定要与实验板上的芯片相对应。 (2)连接电路时要注意保证线与端口连接好,并且注意不要画到器件图形符号的虚线框里面。 (3)顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。 (4)保存波形文件时,注意文件名必须与工程名一致,因为在多次为一个工程建立波形文件时,一定要注意保存时文件名要与工程名一致,否则不能得到正确的仿真结果。 (5)仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到波形可能不便于观察或发生错误。 心得体会:刚接触使用一个新的软件,实验前一定要做好预习工作,在具体的实验操作过程中一定要细心,比如在引脚设定时一定要做到“对号入座”,曾经自己由于这一点没做好耗费了很多时间。实验中遇到的各种大小问题基本都是自己独立排查解决的,这对于自己独立解决问题的能力也是一个极大地提高和锻炼,总之这次实验我获益匪浅。 第三次实验是用VHDL语言设计组合逻辑电路和时序逻辑电路,由于Quartus11软件在之前已经使用过,所以本实验的主要任务就是编写与实验要求相对应的VHDL程序。 总体来说此次实验比较顺利,基本没有遇到什么问题,但有几点需要特别注意。首先是要区分实体名称和结构体名,这一点是程序编写的关键。其次在时序逻辑电路的设计实验中时钟的设置很关键,设置不当的话仿真波形可能不正确。 通过本次实验我初步学会用VHDL语言编写一些简单的程序,同时也进一步熟悉了Quartus11软件的使用。 实验八彩灯控制电路设计与实现 一、实验目的 1、进一步了解时序电路设计方法

多功能数字钟电路的设计与制作

多功能数字钟电路的设计与制作 一、设计任务与要求 设计和制作一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间,准点报时。 二、方案设计与论证 1.数字钟设计原理 数字电子钟一般由振荡器、译码器、显示器等几部分电路组成,这些电路都是数字电路中应用最广的基本电路。振荡器产生的1Hz的方波,作为秒信号。秒信号送入计数器进行计数,并把累计的结果以“时”、“分”、“秒”的数字显示出来。“秒”的计数、显示由两级计数器和译码器组成的六十进制计数电路实现;“分”的计数、显示电路与“秒”的相同;“时”的计数、显示由两级计数器和译码器组成的二十四进制计数电路实现。所有计时结果由七段数码管显示器显示。用4个与非门构成调时电路,通过改变方波的频率,进行调时。最后用与非门和发光二极管构成整点显示部分。

2.总体结构框图如下: 图14 总体框图 三、单元电路设计与参数计算 1.脉冲产生电路 图15 晶振振荡器原理图 图16 555定时器脉冲产生电路原理图 振荡器可由晶振组成(如图15),也可以由555定时器组成。图16是由555定时器构成的1HZ 的自激振荡器,其原理是: 第一暂态2、6端电位为Vcc 3 1 ,则输出为高电平,三极管不导通,电容C 充电,此 时2、6端电位上升。当上升至大于Vcc 3 2 时,输出为低电平,三极管导通,电容C 放电, 11 21 C 1 R C 2 R O

此时2、6端电位下降,下降至Vcc 3 1 时,输出高电平,以此循环。根据公式C R R f )2(43.121+≈ 得,此时频率为0.991。 图17 555定时器波形关系 图18 555定时器产生1Hz 方波原理图 2.时间计数电路 图19 74LS161引脚图 74LS161功能表 v V 2 3 V 1 3 v U 1 74L S 161D Q A 14Q B 13Q C 12Q D 11R C O 15A 3B 4C 5D 6 E N P 7E N T 10 ~L O A D 9~C L R 1 C L K 2

2018秋数字电路与逻辑设计实验课程要求及题目

2018~2019学年第一学期 《数字电路与逻辑设计实验(下)》课程要求 一、课程安排及要求: 本学期数字实验教学内容为综合课题设计,教学方式采用开放式实验教学模式,第7周和第10周实验按班上课,第8周和第9周实验室全开放,学生根据开放实验安排自行选择实验时间和地点,要求每人至少参加2次课内开放实验。 课程具体安排如下: 二、成绩评定 数字综合实验成绩由三部分组成: ●平时成绩:占总成绩的20% ●验收答辩:占总成绩的50% ●报告成绩:占总成绩的30% 实验报告评分标准如下(按百分制批改,占总成绩的30%):

三、实验题目 题目1 抽油烟机控制器的设计与实现 利用CPLD器件和实验开发板,设计并实现一个抽油烟机控制器。 基本要求: 1、抽油烟机的基本功能只有两个:排油烟和照明,两个功能相互独立互不影响。 2、用8×8双色点阵模拟显示烟机排油烟风扇的转动,风扇转动方式为如图1所示的四 个点阵显示状态,四个显示状态按顺序循环显示。风扇转动速度根据排油烟量的大小分为4档,其中小档的四个显示状态之间的切换时间为2秒,中档为1秒,大排档为0.5秒,空档为静止不动(即停止排油烟),通过按动按键BTN7来实现排油烟量档位的切换,系统上电时排油烟量档位为空档,此后每按下按键BTN7一次,排油烟量档位切换一次,切换的顺序为:空档→大档→中档→小档→空档,依次循环。 双色点阵模拟排油烟风扇转动示意图 3、设置按键BTN0为立即关闭按键,在任何状态下,只要按下BTN0,排油烟风扇就 立即停止工作进入空档状态。 4、设置按键BTN3为延时关闭按键,在大中小三档排油烟状态的任何一个档位下,只 要按下BTN3,排油烟风扇将在延时6秒后停止工作进入空档状态。延时期间用数码管DISP3进行倒计时显示,倒计时结束后,排油烟风扇状态保持静止不动。在延时状态下,禁用排油烟量档位切换键BTN7。 5、设置按键BTN6为照明开关键,用发光二极管LD6模拟照明灯,系统上电时照明灯 LD6处于关闭状态,按动BTN6来切换LD6的点亮和关闭。 6、系统工作稳定。 提高要求: 1、给油烟机加上音效,分档模拟排油烟风扇的噪音。 2、自拟其他功能。

最新数字电路与逻辑设计试卷(有答案)

数字电路与逻辑设计(A 卷) 班级 学号 姓名 成绩 一.单项选择题(每题1分,共10分) 1.表示任意两位无符号十进制数需要( )二进制数。 A .6 B .7 C .8 D .9 2.余3码10001000对应的2421码为( )。 A .01010101 B.10000101 C.10111011 D.11101011 3.补码1.1000的真值是( )。 A . +1.0111 B. -1.0111 C. -0.1001 D. -0. 1000 4.标准或-与式是由( )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 5.根据反演规则,()()E DE C C A F ++?+=的反函数为( )。 A. E )]E D (C C [A F ?++= B. E )E D (C C A F ?++= C. E )E D C C A (F ?++= D. E )(D A F ?++=E C C 6.下列四种类型的逻辑门中,可以用( )实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 7. 将D 触发器改造成T 触发器,图1所示电路中的虚线框内应是( )。 图1 A. 或非门 B. 与非门 C. 异或门 D. 同或门 8.实现两个四位二进制数相乘的组合电路,应有( )个输出函数。 A . 8 B. 9 C. 10 D. 11 9.要使JK 触发器在时钟作用下的次态与现态相反,JK 端取值应为( )。 A .JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( )个异或门。 A .2 B. 3 C. 4 D. 5 二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记“×”, 并在划线处改正。每题2分,共10分) 1.原码和补码均可实现将减法运算转化为加法运算。 ( )

前端设计&数字电路

要注意规范 工作过的朋友肯定知道,公司里是很强调规范的,特别是对于大的设计(无论软件 还是硬件),不按照规范走几乎是不可实现的。逻辑设计也是这样:如果不按规范做的话,过一个月后调试时发现有错,回头再看自己写的代码,估计很多信号功能都忘了, 更不要说检错了;如果一个项目做了一半一个人走了,接班的估计得从头开始设计;如 果需要在原来的版本基础上增加新功能,很可能也得从头来过,很难做到设计的可重用性。 在逻辑方面,我觉得比较重要的规范有这些: 1.设计必须文档化。要将设计思路,详细实现等写入文档,然后经过严格评审通过 后才能进行下一步的工作。这样做乍看起来很花时间,但是从整个项目过程来看,绝对 要比一上来就写代码要节约时间,且这种做法可以使项目处于可控、可实现的状态。 2.代码规范。 a.设计要参数化。比如一开始的设计时钟周期是30ns,复位周期是5个时钟周期,我 们可以这么写: parameter CLK_PERIOD = 30; parameter RST_MUL_TIME = 5; parameter RST_TIME = RST_MUL_TIME * CLK_PERIOD; ... rst_n = 1'b0; # RST_TIME rst_n = 1'b1; ... # CLK_PERIOD/2 clk <= ~clk; 如果在另一个设计中的时钟是40ns,复位周期不变,我们只需对CLK_PERIOD进行重新例化就行了,从而使得代码更加易于重用。 b.信号命名要规范化。 1) 信号名一律小写,参数用大写。 2) 对于低电平有效的信号结尾要用_n标记,如rst_n。 3) 端口信号排列要统一,一个信号只占一行,最好按输入输出及从哪个模块来到哪 个模块去的关系排列,这样在后期仿真验证找错时后方便很多。如:

数字电路设计数字电路应用设计

数字电路设计数字电路应用设计 数字电路应用设计。本书从实用设计方法出发。 通信及相关专业师生的参考用书。也可供电路设计及研发人员参 考阅读。 书名,数字电路应用设计。作者,关静。ISBN,9787030257796。定价,32.00 元。出版社,科学出版社。出版时间,xx-11-1。装帧,平装。开本,16开。 基本信息。数字电路应用设计作者:关静编著出版社:科学 出版社出版时间: xx-11-1开本: 16开I S B N: 9787030257796定价:¥32.00。 内容简介。本书从实用设计方法出发。结合实际应用。 也可供电路设计及研发人员参考阅读。 目录。第1章数字电路实用设计基础1.1 数字集成电路的分类。 特点及注意事项1.2 数字逻辑电路的测试方法1.3 基本逻辑门 电路的测试方法1.4 典型集成逻辑门电路部件逻辑门等等。逻辑门 可以组合使用实现更为复杂的逻辑运算。1.5 组合逻辑电路的分析与设计逻辑运算又称布尔运算布尔用数学方法研究逻辑问题。成功地 建立了逻辑演算。他用等式表示判断。把推理看作等式的变换。这种变换的有效性不依赖人们对符号的解释。 只依赖于符号的组合规律。这一逻辑理论人们常称它为布尔代数。20世纪30年代。逻辑代数在电路系统上获得应用。随后。由于电子技术与计算机的发展。出现各种复杂的大系统。它们的变换规律也遵

守布尔所揭示的规律。逻辑运算通常用来测试真假值。最常见到的逻辑运算就是循环的处理。用来判断是否该离开循环或继续执行循环内的指令。1.6 电路的安装与调试1.7 TTL集电极开路门与三态输出门的应用集电极开路门。即OC门。 是一种能够实现线逻辑的电路。OC与非门电路的特点是将原TTL 与非门电路中的VT3管集电极开路。并取消集成电极电阻。所以。使用OC门时。为保证电路正常工作。必须外接一只RL电阻与电源VCC 相连。称为上拉电阻。如图2所示。1.8 数字IC的接口电路1.9 数字电路的抗干扰问题第2章电子计数器。秒表的制作2.1 电子计数器的制作2.1.1 集成计数器74LS1602.1.2 数码管显示单元2.1.3 计数器电路图与实际制作2.1.4 调整和使用方法2.2 秒表的制作2.2.1 钟表的工作2.2.2 秒表的制作及调整2.2.3 使用BCD计数器和十进制计数器的方法第3章电子储钱罐的设计与制作3.1 设计思路3.2 光电传感器与锁存器部分电路3.2.1 光电传感器光电传感器是采用光电元件作为检测元件的传感器。 它首先把被测量的变化转换成光信号的变化。然后借助光电元件进一步将光信号转换成电信号。光电传感器一般由光源。光学通路和光电元件三部分组成。光电检测方法具有精度高。反应快。非接触等优点。而且可测参数多。传感器的结构简单。形式灵活多样。因此,光电式传感器在检测和控制中应用非常广泛。光电传感器是各种光电检测系统中实现光电转换的关键元件。它是把光信号转变成为电信号的器件。光电式传感器是以光电器件作为转换元件的传感器。

数字钟-的设计与实现-数字电路的样板

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 第20周理论设计、实验室安装调试,地点:鉴主15楼通信实验室一 指导教师签名:年月日 系主任(或责任教师)签名:年月日

多功能数字钟电路设计 摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。数字钟适用于自动打铃、自动广播,也适用于节电、节水及自动控制多路电器设备。它是由数子钟电路、定时电路、放大执行电路、电源电路组成。为了简化电路结构,数字钟电路与定时电路之间的连接采用直接译码技术。具有电路结构简单、动作可靠、使用寿命长、更改设定时间容易、制造成本低等优点。 从有利于学习的角度考虑,这里主要介绍以中小规模集成电路设计数字钟的方法。

中山大学数字电路与逻辑设计实验报告

中山大学数字电路与逻辑设计实验报告 院系信息科学与技术学院学号 专业计算机科学类实验人 3、实验题目:AU(Arithmetic Unit,算术单元)设计。 实验内容: 设计一个半加半减器,输入为 S、A、B,其中S为功能选择口。当S=0时,输出A+B及进位;当S=1时,输出A-B及借位。 S 输入1 输入2 输出Y 进/借位Cn 0 A B A+B 进位 1 A B A-B 借位 利用三种方法实现。 (1)利用卡诺图简化后只使用门电路实现。 (2)使用74LS138实现。 (3)使用74LS151实现,可分两次单独记录和/差结果、进位借位结果或使用两块74LS151实现。 实验分析: 真值表 S A B Y Cn 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 0 0 1 0 1 1 1 1 1 0 1 0 1 1 1 0 0 卡诺图: S AB 0 1 通过卡诺图可得:Y=A B+A B 00 01 11 100 0 1 1 0 0 1 1

S AB 0 1 00 Cn=AB S +A BS 01 =(A S +A S)B 11 10 实验设计: (1)利用门电路实现。 ①利用74LS197的八进制输出端Q1、Q2、Q3作为B 、A 、S 的输入。 ②用异或门74LS86实现输出Y. ③用74LS86实现A ⊕B ,再用74LS08与B 实现与门。 (2)利用74LS138实现 ①将74LS197的Q3、Q2、Q1作为74LS138的S2、S1、S0输入,G2A 、G2B 接低电平,G1接高电平。 ②将74LS138的Y1、Y5、Y2、Y6利用74LS20实现与非门作为输出Y 。 ③ 将74LS138的Y3、Y5利用74LS00实现与非门作为输出Cn 。 0 0 0 1 1 0 0 0

《数字电路制作与测试》—课程标准(含章节知识点)

《数字电子技术》课程标准

《数字电路制作与测试》课程标准 一、适用对象 二、适用专业 三、课程性质 本课程是专业的专业知识课程。 本课程是依据专业人才培养目标和相关职业岗位(群)的能力要求而设置的,对本专业所面向的岗位群所需要的知识、技能、和素质目标的达成起支撑作用。在课程设置上,前导课程有《电工基础》( M01F011 )、《模拟电路设计与制作》(M01F27E10)、,后续课程有《C语言程序设计》(M01F68D10)、《单片机应用技术》( M01F66E10)。 四、课程目标 总体目标 通过本课程的学习,学生可掌握逻辑代数、门电路、组合逻辑电路、触发器、时序逻辑电路、脉冲波形的产生与整形、可编程逻辑电路基本知识和应用技术、A/D转换与D/A转换等数字逻辑电路相关知识,熟悉常用仪器仪表使用、完成数字电路与功能电路测试、学会简单数字电路设计方法。本课程注重培养学生创新意识、分析和解决实际问题的能力以及工程实践能力、职业素质能力。 1、知识目标 1)熟悉逻辑代数基本知识。 2)掌握组合逻辑电路分析方法和设计方法。 3)掌握触发器的逻辑功能和应用方法。 4)掌握时序逻辑电路的分析方法,了解时序逻辑电路的设计方法。 5)了解可编程逻辑器件基本知识和应用技术。 6)掌握AD/DA变换的基本原理和应用。

7)了解脉冲波形的产生和变化。 2、技能目标 1)会用各种表示方法描述数字电路逻辑功能。 2)学会常用数字集成电路的正确使用方法。 3)会分析较复杂数字逻辑电路的逻辑功能。 4)能根据工作要求,完成简单数字逻辑电路的设计。 5)能通过对数字集成电路芯片资料的阅读,了解数字集成电路的逻辑功能和使用方法。 6)能分析和排除数字逻辑电路中出现的故障。 7)能熟练掌握数字电路中常用仪器仪表的使用 8) 能画出所设计的数字逻辑电路的电原理图,能列出所设计电路的元器件清单,会写所设计电路的测试说明。参与实验室的开放性实验,尊重他人劳动,遵守实验室管理规定,养成良好的职业习惯。 3、素质养成目标 教学中通过对数字集成电路的测试及应用,培养学生实践动手能力,提高了学生分析问题和解决问题的能力,养成了学生实践验证的好习惯。通过分组完成项目任务,培养学生团队协作精神,锻炼学生沟通交流、自我学习的能力。通过实验室实施5S管理理念,从而培养学生形成规范的操作习惯、养成良好的职业行为习惯。 五、参考学时90 学分 6 六、设计思路 《数字电路制作与测试》课程的建设和开发是以高职教育的职业能力培养为目标,将理论与实践紧密结合在一起的。 1.该课程以专业知识为主线,以具体工作任务为载体,培养具有灵活应用常用数字集成电路实现逻辑功能的能力为基本目标,围绕工作任务完成的需要选择和组织课程内容,突出工作任务与知识的联系,让学生在职业实践活动的基础上掌握知识,增强课程内容与职业岗位能力要求的相关性,提高学生的就业能力。 2.学习项目选取的基本依据是该门课程涉及的工作领域和工作任务范围,但

闪光灯逻辑控制电路的设计与制作

课题四闪光灯逻辑控制电路的设计与制作 闪光灯逻辑控制电路是电子游戏、广告制作、舞台演出中最常用的逻辑控制电路,和前三个课题不同的是电路采用纯数字电路器件来实现。数字电路能用的器件有通用的中,小规模集成电路和专用的数字集成电路两种,器件的选择余地更大,设计方案较多。数字电路的设计思路与模拟电路有着根本的差别,而且只要电路逻辑设计正确,调试的工作量较小。希望通过本课题的设计与制作,使初学者能熟悉数字电路的一般设计方法。 1.设计内容和要求 设计并制作一个闪光灯控制逻辑电路,设计要求: ①红(R、黄(A、绿(G三种颜色的闪光灯在时钟信号作用下按表3— 5规定的逻辑顺序转换。表中“1”表示灯亮,“0”表示灯灭。要求电路能自启动。 ②状态转换时间间隔为0.5s,设计并制作一个CP脉冲源。 表3-5闪光灯转换顺序表 2. 设计方案的选择

(1逻辑分析 三个闪光灯R、A、G作为三个输出变量,灯亮为“1”,灯灭为“0”,在时钟C P的作用下,共8个状态,其状态转换图如图3-4-1所示。 图3-4-1状态转换图 由状态转换图可知,本电路可以自启动。 设计思路:能否用一个八进制计数器,再设计一个状态转换电路,将计数器的8个输出状态依次转化为灯光控制电路的规定状态,状态转换的真值表如表3-6所示。 表3-6状态转换真值表

由真值表可得输出变量的函数表达式为R=01 2012012Q Q Q Q Q Q Q Q Q ++A=012012012Q Q Q Q Q Q Q Q Q ++ G=012012012Q Q Q Q Q Q Q Q Q ++ (2 设计方案的比较与选择 由上分析,,本课题总的设计方案是先设计一个八进制同步加法计数器为闪光灯逻辑控制电路提供输入变量,再设计一个状态转换电路保证闪光灯按规定顺序工作。八进制计数器根据器件来源,可以选用三片小规模集成触发器如D 触发器,JK 触发器等,也可采用中规模集成计数器如74LS160,74LS161等构成,转换电路的设计方案更多,可采用门电路,也可采用数据选择器,3线8线译码器,甚至只读存储器ROM 来实现。不同的器件对逻辑函数 的处理方式不同。本课题首先确定八进制计数器由十进制同步加法计数器74LS 160来实现,只是转换电路选用不同的器件,介绍三种设计方案供选择比较。 3.单元电路的设计 (1八进制计数器的设计

2020年数字电路与逻辑设计实验报告

实验报告书 课程名称 数字电路与逻辑设计专 业 计算机科学与技术班 级 2 姓 名 刘 腾 飞 学 号 09030234 指导教师 王 丹 志 成

绩 2010年年 11月月 10 日 实验题目 译码器、数据选择器及其应用 一、实验目的 1、掌握中规模集成译码器与数据选择器的逻辑功能与使用方法 2、熟悉数码管的使用 3、学习用数据选择器构成组合逻辑电路的方法二、实验原理 1 1 、中规模集成译码器 74 LS 138 74LS138是集成3线-8线译码器,在数字系统中应用比较广泛。图-1是其引脚排列。其中 A2 、A1 、A0为地址输入端, 0Y~ 7Y为译码输出端,S1、2S、3S为使能端。 图-1 74LS138真值表图-2如下 图- 2 74HC138工作原理为当S1=1,S— 2+S — 3=0时,器件使能,电路完成译码功能,输出低电平有效。当S=0,S— 2+S — 3=X时,或S1=1, S— 2+S — 3=1,译码器被禁止,所有输出同时为1 2 2 、双4 4 选1 1 数据选择器 74LS153 所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚排列如图-3所示,功能表如图-4所示。 图-3 输入输出 S— A1 A0 Q 1 0 0 0 0 X 0 0 1 1 X 0 1 0 1 0 D0 D1 D2 D3 图-4 1S—、2S —为两个独立的使能端;A1、A0为两个公用的地址输入端;1D0~1D3和2D0~2D3分别为两个4选1数据选择器的数据输入端;Q1、Q2为两个输出端。 当使能端1S—(2S —)=1时,多路开关被禁止,无输出,Q=0。 当使能端1S—(2S —)=0时,多路开关正常工作,根据地址码A1、A0的状态,将相应的数据D0~D3送到输出端Q。 3 3 、8 8 选1 1 数据选择器 74LS151 74LS151为互补输出的8选1数据选择器,引脚排列如图-5所示,功能表如图-6所示。

数字电路设计与制作

数字电路设计与制作 【日本】汤山俊夫TN790.2-64 2 一数字电路基础知识 1.74系列主要是门IC、触发器、移位寄存器、计数器等通用性强, 单集成度不高的产品。 2.74系列集成度不高的原因有:①功耗大,每个门功耗约为10mW, 而DIP16的管壳限度为300mW左右。②过分集中,也会影响其通用性。 3.74系列命名: 4.74系列:TTL型:74XX、74SXX、74HXX、74LSXX等 CMOS型:74HCXX 5.正逻辑:“1”作为高电压,“0”作为低电压。 负逻辑:“1”作为低电压,“0”作为高电压。 6.V IH V IL V IH、V IL表示芯片输入端电压,对于TTL芯片,如果输入电压在 2.0V以上,默认为高电平V IH;如果在0.8V以下,则默认为低电 平V IL。对于CMOS芯片,V IH为3.5,V IL为1.5V。

7.V OH V OL V OH、V OL表示芯片输出端电压,使用两级以上的数字IC时连接方法很重要,本级输出的高电平V OH必须成为次级IC所要求的高电平输入V IH。输入输出的连接电平都有一定的余量,TTL IC 的余量为0.4V, CMOS IC的余量为1.45V,因此,TTL的V OH为 2.0+0.4=2.4V;V OL为0.8-0.4=0.4V。而相比之下,CMOS 的噪声容限就要大很多了。 8.滤波电容(旁路电容) 数字IC的电源电压一般都为5V,我们在电源输入端靠近IC地方接入一个0.1 F电解电容或叠层陶瓷电容。给IC补充在电压下降期间的电流。 9.门延迟★ 10.门的作用 11.模拟IC与数字IC ★

数字电路与逻辑设计试题及答案(试卷D)

《数字集成电路基础》试题D (考试时间:120分钟) 班级: 姓名: 学号: 成绩: 一、填空题(共30分) 1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于_ _____偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A -B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1 =______,其约束方程为:______。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___个 输入端,____输出端。 8. 下图所示电路中,Y 1 =______;Y 2 =______;Y 3 =______。 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F * 为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()()D C B A ++ 3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 1 A B 3

4.用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中。 A.与项的个数少B. 每个与项中含有的变量个数少C. 化简结果具有唯一性5. 已知某电路的真值表如下,该电路的逻辑表达式为。 A.C Y= B. ABC =D.C Y=C.C Y+ AB = Y+ C B 三、化简下列逻辑函数,写出最简与或表达式:(共20分)1.证明等式:AB ? = A+ + A B B B A 2.Y2=Σm(0,1,2,3,4,5,8,10,11,12) 3.Y3=ABC A+ + B + ? C C AB B A C

数字电路设计简易八路抢答器制作

电子技术综合训练 设计报告 题目:简易抢答器制作 姓名: 学号: 班级: 同组成员: 指导教师: 日期:

电子技术综合训练任务书2

摘要 八路数显抢答器的电路主要由五部分组成:数字抢答电路、译码显示电路、可预置时间的定时电路、报警电路以及秒脉冲产生电路。其中数字抢答电路包括了编码电路和锁存电路,实现了对信号编码和锁存的功能,防止二次抢答;译码显示电路能将抢答到的选手编号直观地显示出来;在定时电路中,主持人可通过时间预设开关预设供抢答的时间,且系统将完成自动倒计时;报警电路则起到声报警功能,当在规定的时间内无人抢答时,系统中的蜂鸣器将发出警报声,提示主持人本轮抢答无效,实现报警功能;秒脉冲产生电路用于为定时电路提供一个频率为1Hz的标准时钟信号。该抢答器不仅具有智能化的特点,同时采用数字式显示很直观。 关键词:抢答器编码锁存

目录 一、设计任务与要求 (4) 二、方案设计选择 (5) 三、部分电路工作原理 (6) 四、总体电路设计 (7) 1.抢答器电路 (7) 2.定时电路 (10) 3.报警电路 (11) 4.时序控制电路 (11) 五、实验器材清单 (12) 六、总电路原理图 (13) 七、课程设计总结 (14) 八、参考文献 (15)

一、设计任务与要求 1. 抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。 2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED 数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动"开始"键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5秒左右。 5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 6. 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。

相关主题
文本预览
相关文档 最新文档