当前位置:文档之家› 8路抢答器的数字电路

8路抢答器的数字电路

8路抢答器的数字电路
8路抢答器的数字电路

《数字电子技术》课程设计报告

8路智力抢答器

设计与制作

设计要求:

1、可同时供8名选手或8个代表队参加比赛;

2、主持人控制系统的清零(编号显示数码管灭灯)和抢答

的开始;

3、抢答器具有数据锁存和显示的功能;

4、抢答器具有定时抢答的功能,且一次抢答的时间可以由

主持人设定;

5、具有报警功能。

设计人:罗帅学号:

专业:08电气班级:1

成绩:评阅人:

哈尔滨应用技术职业技术学院

8路智力抢答器

设计与制作

8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。

8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

一、设计要求

(一)设计指标

1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、

2、

3、

4、

5、

6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。

2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。

4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。

5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。

6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。

(二)设计要求

1、画出电路原理图(或仿真电路图);

2、元器件及参数选择;

3、电路仿真与调试;

(三)制作要求自行装配和调试,并能发现问题和解决问题。

(四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

二、原理框图

抢答器系统原理框图如下所示。它由主体电路和扩展电路两部分组成,主体电路完成基本抢答后,选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时抢答的功能,和抢答响铃、倒计时为零时报警功能。

图1 八路智力抢答器的组成框图

三、元器件

四、各功能块电路图及功能简介

主体电路

电路图如下:

1、电路功能分析

(1)优先编码电路(74LS148)分辨出抢答者编号,由锁存器(74LS279)锁存,由译码器(74LS47)显示编号;

(2)控制电路对输入电路进行封锁,避免其他人两次抢答;

(3)Space开关置于“闭合”,显示数码管熄灭(黑屏),置于“断开”,等待下一轮。(4)当有选手抢答时,译码器74LS47的~BI/RBO出现高电平,此时蜂鸣器发生,报警有选手抢答。

2、电路工作原理分析

(1)RS 触发器“74LS47”的真值表

(2)RS 触发器“74LS148”的真值表

(3)RS 触发器“74LS279”的真值表(与非门)

3、工作状态

(1)Space 位于“消除”,触发器“74LS279”的四个R 端接地(低电平),输出端(1Q1,1Q2,2Q1,2Q2)全部为低电平,于是74LS47的0=BI ,显示器灯熄灭。

(2)74LS148选通输入端0=ST (即0=EI ),74LS148处于工作状态,此时锁存器不工

作。

(3)当Space 位于“开始”端时,优先编码电路和锁存器同时工作,等待输入端(74LS148)

的输入端0、7输入信号。 (4)例如:按下“5”号,编码器的输出010012=Y Y Y ,即(CBA=010)经RS 储存器后,

1Q1=1,即1=BI ,74LS279处于工作状态,2Q2,2Q1,1Q2=101,经过74LS47译码后,显示器显示“5”。

(5)1Q1,使74LS148的1=ST (即1=EI ),74LS148处于禁止工作状态,封锁了其他

键的输入。

(6)当按下的键松开后,74LS148的1=ES Y (即1=GS ),但由于1Q 的输出端仍维持高电平不变,所以仍然处于禁止工作状态,封锁了其他键的输入。 (7)当Space 接地,才能复位,进入下一轮工作。

拓展电路

1、定时电路:由节目主持人根设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。可预置时间的电路选用十进制同步加减计数器74LS192进行设计,具体电路如图所示

1、电路功能分析 (1)通过十进制同步加/减计数器74LS192进行预置时间设置,由555定时器构成多谐振荡器,产生时钟脉冲,进行倒计时。 (2)A 开关置于“闭合”,显示器显示被置数30,置于“断开”,开始进行30秒倒计时。

2、电路工作原理分析

“74LS192”的真值表

该部分主要由555定时器秒脉冲产生电路、十进制同步加减计数器74LS192减法计数电路、74LS48译码电路和2个7段数码管即相关电路组成。两块74LS192实现减法计数,通过译码电路74LS48显示到数码管上,其时钟信号由时钟产生电路提供。74192的预置数控制端实现预置数,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。按键弹起后,计数器开始减法计数工作,并将时间显示在共阴极七段数码显示管DPY_7-SEG 上,当有人抢答时,停止计数并显示此时的倒计时时间;如果没有人抢答,且倒计时间到时,输出低电平到时序控制电路,控制报警电路报警,同时以后选手抢答无效。

2、 控制电路设计

时序控制电路是抢答器设计的关键,它要完成以下三项功能:

①主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。

②当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。

③当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。 根据上面的功能要求设计的时序控制电路如图5所示。图中,门G1的作用是控制时钟信号CP 的放行与禁止,门G2的作用是控制74LS148的输入使能端 。

下图的工作原理是:主持人控制开关从“清除”位置拨到“开始”位置时,来自于图2中的74LS279的输出Q=0,经G3反相,A =1,则时钟信号CP 能够加到74LS192的CPD 时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则“定时到信号”为 1,门

减计数

×

×

×

× ↓ 1 1 0 加计数 × × × × 1 ↓ 1 0 a

b

c

d

a b c d × × 0 0 0 0 0 0 × × × × × × × 1 Q0 Q1 Q2 Q3 D0 D1 D2 D3 C C C 输出

输入 LD

G2的输出=0,使74LS148处于正常工作状态,从而实现功能①的要求。当选手在定时时间内按动抢答键时,Q=1,经G3反相,A=0,封锁CP信号,定时器处于保持工作状态;同时门G2的输出=1,74LS148处于禁止工作状态,从而实现功能②的要求。当定时时间到时,则“定时到信号”为0,=1,74LS148处于禁止工作状态,禁止选手进行抢答。同时门G1处于关闭状态,封锁CP信号,使定时电路保持00状态不变,从而实现功能③的要求。

五、总接线元件布局简图

六、总结

1.实验过程中遇到的问题及解决方法

(1)对抢答器数据锁存和显示的功能的处理

在实验初期设计的电路基本功能电路中,选手抢答时,具有优先级排列,电路显示不具有稳定性,不断产生脉冲。在老师的帮忙,发现是在对SR锁存器74LS279的接线上有问题,S和R端在不断的被置为高电平和低电平,导致电路不稳定,后仔细研究电路产生的脉冲,调试,得以实现抢答器对数据锁存显示的功能。

(2)对定时抢答功能的处理

实验初期,对十进制加减法计数器74LS192的真值表和555定时器各功能端度没能掌握,导致对置数和产生脉冲进行减数两个功能都没能实现,后经过上网和到图书馆查阅资料,并在老师的解释下,了解了如何正确使用,经多次连接实验,最终实现定时抢答功能。

(3)报警功能设置

经查阅资料,得到报警功能设置的电路设计及时序控制电路如下:

报警电路

由555定时器和三极管构成的报警电路如下图所示。其中555构成多谐振荡器,振荡频率fo=1.43/[(R1+2R2)C],其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。

时序控制电路

集成单稳触发器74LS121用于控制报警电路及发声的时间。

由于所使用的multisim1.0仿真软件没有74LS121非重触发单稳态触发器,所以无法调试该功能的有效性,但是我在基本功能的设置时添加了一个蜂鸣器,如果有选手抢答,则蜂鸣器发声。另外在定时电路上也添加了蜂鸣器和指示灯,以达到报警功能。

但是由于本人使用的multisim1.0仿真软件又存在无法发声的问题,所以无法验证报警功能是否达到。

2.设计体会

实验的初期,由于对整个的电路还不能从整体把握,只能从实验书上的实验要求出发,结合查找到的资料提出解决方案。通过多次连接他人的电路,不断地发现问题,解决问题,于是对电路的设计和要求有了自己的想法,并加以了尝试。这次实验是数电实验的一个综合性实验,通过这次实验,使我们将以前我们所学习到的芯片知识综合应用

到这个实验上,从结构、功能、用法上都有了全面的认识,并基本达到了从构思到设计再到焊接最后到调试的整个过程,虽然其中遇到了很多困难阻力,从开始到整个完成,但还是坚持了下来,所有的努力换回了成功的喜悦。

七、参考文献:

[1]阎石主编.数字电子技术基础清华大学出版社

[2] 张秀娟薛庆军主编,数字电子技术基础实验教程北京航空航天大学出版社

[3]谢子美主编,电子线路设计?实验?测试华中科技大学出版社

数字电子设计_八路抢答器介绍

数字电子技术 课程设计任务书 专业 班级 姓名 学号 指导老师 年月日 学院

目录 摘要 第一章设计技术要求 第二章系统的组成框图及工作原理第三章单元电路设计 1.1 抢答电路的设计 1.2 定时电路的设计 1.3 报警电路的设计 1.4 时序控制电路的设计 第四章整机电路的设计 第五章元件清单 第六章参考文献 第七章设计总结

摘要 进入21世纪越来越来多的电子产品出现在人们的日常生活中,例如企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。 主要介绍了数码显示八路抢答器电路的组成、设计及功能,电路采用74系列常用集成电路进行设计。该抢答器除具有基本的抢答功能外,还具有定时、计时和报警功能。主持人通过时间预设开关预设供抢答的时间,系统将完成自动倒计时。若在规定的时间内有人抢答,则计时将自动停止;若在规定的时间内无人抢答,则系统中的蜂鸣器将发响,提示主持人本轮抢答无效,实现报警功能,若超过抢答时间则抢答无效。 该抢答器主要运用到了编码器,译码器和锁存器:它采用74LS148来实现抢答器的选号,采用74LS279芯片实现对号码的锁存,采用74LS192实现十进制的减法计数,采用555芯片产生秒脉冲信号来共同实现倒计时功能。 关键词: 抢答器编码译码定时报警 第一章设计技术的要求 (1)设计8路抢答器,编号与参赛选手一一对应。 (2)具有优先显示抢答序号及时间的功能并禁止其他选手的抢答。(3)主持人预置抢答时间,控制比赛的开始与结束。 (4)报警电路:主持人按下“开始”键时报警并进入抢答状态;当抢答者发出抢答信号时报警提示;在规定抢答终止时间到时报警。 第二章系统的组成框图及工作原理 抢答器的组成框图

基于51单片机8路抢答器设计

创新实践课 课程名称:创新实践课 实践题目:基于51单片机8路抢答器设计学院:信息工程与自动化学院 专业:生物医学工程 年级:2014级 学生:4 丽莎2海星 指导教师:嘉林 日期:2016-12-30 教务处制

目录 一、前言 (3) 二、电路原理图设计 (3) 三、印制版图设计 (7) 四、软件设计 (9) 五、测试数据及分析 (16) 六、总结 (18)

一、前言 目前,抢答器已经作为一种必不可少的工具广泛应用于各种智力和知识竞赛场合,但一般的抢答器可靠性低,使用寿命短,介于这些不方便因素,此次设计提出了用51单片机为核心控制元件,设计一个简易的八路抢答器。本方案以51单片机作为主控核心,与晶振、数码管、蜂鸣器等通过外围接口实现的八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时器/计数器等,设计的八路抢答器不仅具有实时显示抢答选手的和抢答时间的功能,同时还利用汇编语言编程,使其实现复位、定时和报警的功能。本次设计的系统实用性强、判断精确、操作简单、扩展功能强。 功能:以STC89C52RC单片机作为主控核心,与晶振、数码管、蜂鸣器等通过外围接口实现的八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路等,设计的八路抢答器不仅具有实时显示抢答选手的和抢答时间的功能,同时还利用汇编语言编程,使其实现复位和报警的功能。 此系统是基于51单片机,led发光二极管,一位共阳数码管,蜂鸣器,按键,等分立元件设计而成。 元件设计的意义:关于按键:共设计了10个独立按键,其中8个分别为八位选手抢答输入用,另外两个分别为开始和停止按键!只有裁判按下了开始键才进入正常抢答,否则属于犯规抢答,抢答完毕,裁判按下停止,数码管显示0。关于led发光二极管:共设计了9个发光二极管,其中一个为电源指示,其他8个为选手抢答状态指示,正确抢答时led发光二极管缓慢闪烁,犯规抢答时,快速闪烁。关于数码管:选手按下自己的按键时显示相应的选手编号!裁判按下开始键时数码管显示倒计时,

8路数字抢答器课程设计报告

八路抢答器课程设计报告设计课题:数显八路抢答器 专业班级 08电气一班 学生姓名:陈建运 - 学号:06 指导教师: 设计时间: 2010-12 %

目录 课程设计任务书 (2) 摘要 (4) )Abstract (5) 一、实验目的 (6) 二、设计要求与内容 (6) 三、设计及原理 (7) 总体方案设计 (7) 设计思路 (7) 总电路框图 (7) 各模块设计方案及原理说明 (8) \ 抢答电路 (8) 倒计时电路 (12) 四、电路仿真 (14) 抢答电路 (14) 倒计时电路 (17) 五、实验结果及分析 (20) 六、收获、体会和建议 (22) 附录 (25) > 1.总电路图 (25) 2. 元件引脚图 (26) 3.元器件清单 (28) 主要参考文献 (29) `

¥ 摘要 抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用peotul完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 关键词: 电子设计自动化;数字电子技术;抢答器;仿真 Abstract 。 Responder is a tool that has been widely used in various intelligence and knowledge competitions occasions. The design to eight-way quiz Responder basic concepts, from the practical application, the use of electronic design automation (EDA) technology, with digital, analog electronics design extension of the answering device. The design of the Responder use Multisim11 completed the schematic design and circuit simulation, with digital display, countdown shows, coding and decoding functions, with good results.

8路抢答器基于fpga解析

基于FPGA的多路数字抢答器的设计 摘要:本文主要介绍了以FPGA为基础的八路数字抢答器的设计,首先对各模块的功能进行分配,此次设计主要有七个模块,依次为分频模块、抢答模块、加减分模块、倒计时模块、设置倒计时模块、蜂鸣器模块和数字显示模块。主持人按下开始键可以实现抢答开始,选手号的显示,加减分模块,积分的显示,积分的重置,并启动倒计时模块;若有选手犯规或者倒计时记到五秒,停止倒计时,开启蜂鸣器,并为进入加减分模块做准备。此次设计程序用Quartus II12.0为软件开发平台,用Verilog语言来编写,使用模块化编程思想,自上向下,通过寄存器变量来控制各个模块的运行。本次设计采用FPGA来增强时序的灵活性,由于FPGA的I/O端口资源丰富,可以在此基础上稍加修改可以增加很多其他功能的抢答器,因此后期可塑性很强,因为核心是FPGA芯片,外围电路比较简单,可靠性强、运算速度高,因此便于维护,并且维护费用低。 关键词:FPGA、抢答器、倒计时、犯规报警、加减分、显示 目录 第一章绪论................. . (2) 第二章 FPGA原理及相关开发工具软件的介绍 (3) 2.1 FPGA的简介..... . (3) 2.1.1 FPGA的发展与趋势......... .. (3) 2.1.2 FPGA的工作原理及基本特点 (4) 2.1.3 FPGA的开发流程 (5) 2.1.4 FPGA的配置... . (5) 2.2 软件介绍............... .. (6) 2.2.1 Verilog HDL的介绍........... .. (6)

2.2.2 Quartus II软件.................... .. (7) 第三章数字抢答器系统设计方案和主要模块 (8) 3.1 功能描述及设计架构...... .. (8) 3.2 抢答器程序流程图以及各模块代码分析 (10) 3.2.1 抢答器程序结构及主程序流程图 (10) 3.2.2 秒分频模块 (15) 3.2.3 倒计时以及倒计时剩5S时报警模块...... 错误!未定义书签。 3.2.4 倒计时显示及倒数计时设置显示模块 (20) 3.2.5 选手号显示及违规报警模块 (26) 3.2.6倒计时设置模块 (30) 3.2.7顶层模块 (35) 3.3 硬件电路 (37) 3.3.1 按键电路图 (38) 3.3.2 数码管显示电路图 (38) 3.3.2 蜂鸣器电路图 (39) 第四章管脚分配及功能 (40) 第五章总结 (41) 参考文献 (418) 第一章绪论 1.1 课题研究背景 随着社会的发展,各种竞赛比赛日益增多,抢答器以它的方便快捷、直观反映首先取得发言权的选手等优点,深受比赛各方的辛睐,市场前景一片大好。另一方面随着电子科技的发展,抢答器的功能以及实现方式也越来越多,产品的可靠性以及准确性也越来越强。能够实现多路抢答器功能的方式有很多种,主要包括前期的数字电路、模拟电路以及数字电路与模拟电路组合的方式,但是这种方

8路抢答器设计

华侨大学厦门工学院数字逻辑系统设计课程设计报告 题目:8路抢答器 专业、班级: 分数: 2012年5月30日

任务书 一、任务 设计一个8路抢答器,在控制开关(控制系统的清零和抢答的开始)作用下,抢答选手在开始信号作用后在规定的时间内开始抢答,锁定并显示优先抢答选手的编号同时给出音响的提示。抢答选手确定后,封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到控制信号将系统清零为止。 二、设计要求 (1)设计一个可供8名选手参加比赛的8路数字显示抢答器。他们的编号为12345678,各用一个抢答按钮(开关),编号与参赛者的号码一一对应。 (2)设置一个系统清除和抢答控制开关R,该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出提示。先抢答选手的编号一直保持到主持人将系统清除为止。 目录 一、课题研究的相关背景、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、2 二、选题的目的、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、2 三、电路总体框图和优缺点 (3) 1、电路总体框图 (3) 2、优点 (3) 3、缺点 (3) 四、电路设计 (3) 1、完整电路图 (4) 2、实物图、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、4 3、数码显示 (5) 4、CC4511介绍 (6) 5、555芯片介绍 (7) 五、制作及调试过程 (8) 1、安装 (9)

八路数字显示抢答器的设计要点

目录 1实习目的与要求 (2) 2实习内容 (3) 2.1电路设计……………….……………………………………………………. .3 2.1.1抢答电路设计 (3) 2.1.2定时电路设计 (4) 2.1.3报警电路设计 (5) 2.1.4时序控制电路设计 (6) 2.2整体电路设计 (6) 2.3 电路的仿真 (6) 2.3.1 抢答电路的仿真 (7) 2.3.2定时电路的仿真 (8) 2.3.3脉冲发生电路的仿真 (9) 2.3.4报警电路的仿真 (11) 3心得体会 (11) 参考文献 (12) 附录 (13) 附录A 整体仿真图 (13) 附录B 整体图 (13)

1实习目的要求 实习内容 本次实习的内容是独立完成一个八路数显抢答器的设计,采用电路仿真设计软件完成竞赛抢答器电路的设计及仿真调试,在微机上仿真实现数字式竞赛抢答器的设计。 实习具体内容为:比赛中为了准确、公正、直观地判断出第一抢答者,所设计的抢答器通常由数码显示、灯光、音响等多种手段指示出第一抢答者。同时还应设计记分、犯规和奖惩记录等多种功能。 设计要求: 1、基本部分 (1) 抢答器可供八组使用,组别键(信)号可以锁存;抢答指示用发光二极管(LED)。 (2) 记分部分独立(不受组别信号控制),至少用2位二组数码管指示,步 进有10分、5分两种选择,并且具有预置、递增、递减功能。 (3) 要求性能可靠、操作简便。 2、发挥部分(选做) 数字智力竞赛抢答器(自动记分)原理框图

(1) 增加抢答路数,数码管显示其组别键(信)号。 (2) 自动记分(受组别信号控制):当主持人分别按步进得分键、递增键或递减键后能够将分值自动累计在某组记分器上)。 (3) 超时报警。 实习任务要求 1、画出总体设计框图,以说明数字式竞赛抢答器由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在仿真软件上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 5、自行接线验证、仿真、调试,并能检查和发现问题,根据原理、现象和仿真结果分析问题所在,加以解决。学生要解决的问题包括元器件选择、连接和整体设计引起的问题。 2实习内容 2.1电路设计 2.1.1 抢答电路设计 如图1所示为抢答电路图。电路选用优先编码器 74LS148 和锁存器74LS297 来完成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号(显示电路采用七段数字数码显示管);二是禁止其他选手按键,其按键操作无效。工作过程:开关S置于"

单片机实验8路抢答器C语言版

单片机综合实验报告 题目: 8路抢答器实验 班级: 姓名: 学号: 指导老师: 时间:

一、实验内容: 以单片机为核心,设计一个8位竞赛抢答器:同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。本实验有Protues软件仿真。 分别设置一个抢答控制开关S1和复位开关S2,由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮抢答时,锁存相应的编号,并且优先抢答选手的编号一直保持显示在显示器上,直到主持人将系统复位为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定为30秒。 当主持人启动“开始”键后,定时器进行减计时,同时绿色LED灯亮。 二、实验电路及功能说明 分别设置一个抢答控制开关S1和复位开关S2,由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮抢答时,锁存相应的编号,并且优先抢答选手的编号一直保持显示在显示器上,直到主持人将系统复位为止。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统复位为止。复位后参赛队员可继续抢答。 如果定时时间已到,无人抢答,本次抢答无效,系统报警红色LED灯亮,并禁止抢答,定时显示器上显示00。

三、实验程序流程图: 主程序; 非法抢答序;抢答时间调整程序;回答时间调整程序;倒计时程序;正常抢答处理程序;犯规处理程序;显示及发声程序。主流程图如下图所示 子程序

四、实验结果分析 五、心得体会

六、程序清单 #include #define uchar unsigned char #define uint unsigned int sbit wela_a=P3^0; sbit wela1=P3^1; sbit wela2=P3^7; sbit rest=P3^5; sbit host=P3^6; sbit led1=P3^4;//绿灯 sbit led2=P3^3;//红灯 sbit led3=P3^2;//黄灯 sbit key1=P1^0; sbit key2=P1^1; sbit key3=P1^2; sbit key4=P1^3; sbit key5=P1^4; sbit key6=P1^5; sbit key7=P1^6; sbit key8=P1^7; uchar x,q,d,s,ge,t0,t1,start,flag; uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f,0x77,0x7c, 0x39,0x5e,0x79,0x71}; void init();/*初始函数申明*/ void display(uchar s,uchar ge,uchar a); void delay(uint z); void keyscan(); void main() { init(); display(s,ge,a); while(1) { if(host==0) //主持人控制开关 { delay(5); if(host==0) { flag=1; start=1; delay(5); 延时 while(!host); 检测开关 } } if(rest==0) //复位 { delay(5); if(rest==0) { q=30; led2=1; led3=1; x=0; delay(5);

8路抢答器的设计报告(数字电路课程设计)资料

《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 成绩:评阅人: XX科技学院理学院

8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,

同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 抢答器系统原理框图如下所示。它由主体电路和扩展电路两部分组成,主体电路完成基本抢答后,选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时

CD八路数显抢答器使用原理

C D八路数显抢答器使 用原理 SANY标准化小组 #QS8QHH-HHGX8Q8-GNHHJ8-HHMHGN#

一、功能简介 1、功能说明 抢答器可以根据抢答情况,显示优先抢答者的号数,同时蜂鸣器发声,表示抢答成功。抢答器由抢 答,编码,优先,锁存,数显及复位电路组成,它的组成原理图如图1所示。 2、电路原理简介 该抢答器电路可同时进行八路优先抢答。按键按下后,蜂鸣器发声,同时(数码管)显示优先抢答 者的号数,抢答成功后,再按按键,显示不会改变,除非按复位键。复位后,显示清零,可继续抢 答。SB1~SB8 为抢答键;SB9 为复位键;CD4511 是一块含BCD—7 段锁存/译码/驱动电路于一 体的集成电路,其中1、2、6、7 为BCD 码输入端,9~15 脚为显示输出端,3 脚(LT)为测试 验出端,当"LT"为0 时,输出全为1,4 脚(BI)为消隐端,BI 为0 时输出全为0,5 脚(LE)为锁 存允许端,当LE 由"0"变为"1"时,输出端保持LE 为0 时的显示状态。16 脚为电源正,8 脚为电 源负。555 及外围电路组成抢答器声响电路。整个电路可以采用直流供电。 元件清单

二、电路所需器件介绍 1、 CD4511 BCD-7 段译码驱动器 CD4511 是常用的七段显示译码驱动器,它的内部除了七段译码电路外,还这有锁存电路和输 出驱动器部分,具有输出电流大,最大可达25mA,可直接驱动LED 数码管。CD4511 由4 个输入端 A/B/C/D 和7 个输出端a~g,它还具有输入BCD 码锁存、灯测试和熄灭控制功能,它们分别由锁存 端LE、灯测试LT、熄灭控制端BI 来控制。引脚图如2 所示,真值表如图3 所示。 2、时基电路TLC555 TLC555 与NE555 参数基本相同,但TLC555 为COMS 结构,具有温漂小、内部分布参数小等优点。 TLC555 是一块时基集成电路,它可以构成多谐振荡器、单稳态触发器、施密特触发器等,是一 块用途广泛的集成电路。 TLC555 集成电路管脚如图4,内部等效电路如图5。 TLC555 引脚功能简介: 1 脚:公共地端为负极。 2 脚:低触发端TRIG,低于1/ 3 电源电压时即导通。 3 脚:输出端OUT,电流可达200mA。

8路数字抢答器设计说明

简易8路数显抢答器: 简单实用的八路数显抢答器,主要包括抢答、编码、优先锁存、数显、复位及音频振荡等电路。元器件主要包括 CD4511.N E555、IN 4148、三极管(901 4)、LED 共阴极数码管、扬声器、小型按钮开关及电阻电容等。 说明: 抢答数显电路: J1~J8八个按钮开关组成抢答键。D1~D12十二个二极管组成编码器,将抢答键按对应的BCD 码进行编码,并将所得的高电平加在CD4511所对应的输入端。CD4511是一块含BCD —七段锁存/译码/驱动电路于一体的集成电路。CD4511的 1、2、 6、7脚为BCD 码输入端,9~15脚为显示输出端。3脚为测试端(LT),当L T 为“0 ”时,输出全为“1 ”。4脚为消隐端(B I ),当B I 为“0 ”时,输出全为“0 ”,因此此时可以清除锁存器内的数值,即可使用为复位端。5脚为锁存允许端(L E ),当L E 端由“0 ”→“1 ”时,a 、b 、c 、d 、e 、f 、g 七个输出端保持在LE 为“0”时所加BCD 码对应的数码显示状态。 16、8脚分别接电源正负极。由CD4511的引脚图可知, 6、2、 1、7脚分别代表BCD 码的 8、4、

2、1位。按下对应的键,即可得到 0001、0010、 0011、0100、 01、0110、 0111、1000八个一系列的BCD 码。高电平加在CD4511对应的输入端上,便可以由其内部电路译码为十进制数在数码管上显示出来。优先锁存电路由两个二极管( D13、D14)、一个三极管(VT)、两个电阻及CD4511的锁存允许端(LE)完成。在初始状态或复位后的状态时,CD4511输入端都与一个电阻(10K)串联接地,所以此时BCD码输入端为“00”,则CD4511输出端a、b、c、d、e、f 均为高电平,g 为低电平,且数码显示为“0”。而当d 为高电平,三极管(VT)导通及g 为低电平时, D13、D14的正极均为低电平,使CD4511的LE 端为低电平“0”,可见,此时没有锁存即允许BCD码输入。而当任一抢答键按下时,由数码显示可知,CD4511输出端d 输出为低电平或输出端g输出为高电平,两个状态必有一个存在或着都存在。迫使CD4511的LE端,由“0”→“1”,即将首先输入的BCD 码显示的数字锁存并保持。此刻,其它按键编码就无法输入,从而达到了抢答的目的。音频振荡电路为NE555组成的多谐振荡器推动扬声器发出讯响声。四只二极管(IN4148)组成二极管或门电路分别接CD4511的 1、2、 6、7引脚,为NE555提供电源+Ucc,即任何抢答键按下时,扬声器都能发出报警声。元器件清单 序号910元件名称 电阻 电阻

数电课程设计八路智力竞赛抢答器设计

数电课程设计八路智力竞赛抢答器设 计

课程设计任务书 题目: 八路智力竞赛抢答器设计 初始条件: ◆教材:《电子线路设计·实验·测试》第三版谢自美主编华中 科技大学出版社 ◆元器件:74LS48三片,72LS192两片,74LS279、74LS148、 74LS00、74LS11、555各一片,数码管三个,发光二极管一个,开 关、电阻、电容若干,面包板,导线若干 ◆仿真:Proteus仿真软件 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) ◆多路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,她们的编号分别是0、1、2、3、4、5、6、7,各用一个抢 答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、 S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编 号,同时扬声器给出音响提示。另外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零 为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间能够由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计 时,并在显示器上显示,同时扬声器发出短暂的声响,声响持续 时间0.5s左右。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系 统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显 示器上显示00. 报告要求: 课程设计的内容要求用A4纸打印,且页数不得少于20页。 时间安排:

8路数字抢答器课程设计报告

学号: 课程设计 题目八路数字抢答器 学院信息工程学院 专业通信工程 班级 姓名 指导教师 2010年 7月 9日

课程设计任务书 题目:八路数字抢答器 起始条件:要求对数字电路里555电路的运用有所了解,同时熟悉计数电路和译码电路的运用,还要能够运用Multisim软件进行电路的仿真。 要求完成的主要任务: 1.运用数字电路设计一个能够满足特定要求的八路抢答器 2.绘制电路原理图并进行仿真,要求在报告中画出正确的波形。3.按照所画的原理图,在仿真的基础上焊接实物并进行调试。 时间安排: 编号 任务时间 1 查资料、原理图设计3天 2 仿真电路并修改 3天 3 实物焊接与调试5天 4 报告撰写 2天 5 答辩1天 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 课程设计任务书 (2) 摘要 (4) Abstract (5) 一、实验目的 (6) 二、设计要求与内容 (6) 三、设计及原理 (7) 3.1 总体方案设计 (7) 3.1.1 设计思路 (7) 3.1.2 总电路框图 (7) 3.2 各模块设计方案及原理说明 (8) 3.2.1 抢答电路 (8) 3.2.2 倒计时电路 (12) 四、电路仿真 (13) 4.1 抢答电路 (13) 4.2 倒计时电路 (15) 五、实验结果及分析 (17) 六、收获、体会和建议 (19) 附录 (21) 1.总电路图 (21) 2. 元件引脚图 (22) 3.元器件清单 (24) 主要参考文献 (25)

摘要 抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用Multisim11完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 关键词: 电子设计自动化;数字电子技术;抢答器;仿真

八路纯数字电路抢答器Proteus设计图

一、任务与要求: ⑴抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。 ⑵设置一个系统清除和抢答控制开关S,该开关由主持人控制。 ⑶抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 ⑷抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动"开始"键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5秒左右。 ⑸参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 ⑹如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。

A 7QA 13 B 1QB 12 C 2QC 11 D 6QD 10BI/RBO 4Q E 9RBI 5Q F 15LT 3 QG 14 U1 74LS48 R2 10k R3 10k R4 10k R5 10k R6 10k R7 10k R8 10k R9 10k R 4DC 7 Q 3 GND 1 VCC 8 TR 2TH 6 CV 5U5 NE555 A 7QA 13 B 1QB 12 C 2QC 11 D 6QD 10BI/RBO 4Q E 9RBI 5Q F 15LT 3 QG 14 U6 74LS48 A 7QA 13 B 1QB 12 C 2QC 11 D 6QD 10BI/RBO 4Q E 9RBI 5Q F 15LT 3 QG 14 U7 74LS48 D015Q03D11Q12D210Q26D3 9 Q3 7 UP 5TCU 12DN 4TCD 13PL 11MR 14U8 74LS192 D015Q03D11Q12D210Q26D39Q3 7 UP 5TCU 12DN 4TCD 13 PL 11MR 14 U9 74LS192 R10 20k R11 15k C1 10u C2 0.1uf D2LED-RED R145001 2 3 U11:A 74LS0811121231341526374859 10 Q09Q17Q26Q3 14 U2 74LS14712 U3:A 74LS043 4 U3:B 74LS0456U3:C 74LS0413 12 U3:D 74LS04 1234 5611128 U4 74LS30 D03Q02D14Q15D27Q26D38Q39D413Q412D514Q515D617Q616D718 Q7 19 OE 1LE 11U1074LS373 123U12:A 74LS86 R1 100 4 56 U11:B 74LS08 1110U3:E 74LS04910 8U11:C 74LS08 R 4DC 7 Q 3 GND 1 VCC 8 TR 2 TH 6 CV 5 U13 NE555R12 10k C4 0.1u Q1 NPN R135k D1 LED-RED 12 1311U11:D 74LS08D3 LED-RED R15 500 R16 100 C3 100u C5 0.1uf R17 1k CX 14RX/CX 15A 1B 2MR 3 Q 13Q 4U14:A 74LS123CX 6 RX/CX 7 A 9 B 10MR 11 Q 5Q 12 U14:B 74LS123 1 2 3U15:A 74LS08 R19 1k C60.1u

八路抢答器设计方案

1概述 1.1开发背景 智力竞赛是一种能锻炼人的头脑开发人的IQ的一种大众化游戏,也起到娱乐的作用。现在智力竞赛越来越被多数人喜爱和娱乐,像中央卫视的三星智力快车、金苹果、幸运50等等多档智力竞赛节目都拥有大批的忠实观众。而且国内外各地电视台、工厂、学校等单位也会常常举办类似的智力竞赛活动,然而智力竞赛抢答器是必要设备。 在有些地方举行的各种智力竞赛游戏中我们经常看到有抢答的环节,举办方大多数采用让选手通过举答题板的方法或者是举手的方式判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。因此为解决这个问题,本论文采用了单片机制作了一个低成本但又能满足学校等需要的八路数显智力竞赛抢答器,并能实现循环显示各组选手得分。 1.2方案论证与比较 与普通抢答器相比,本作品有以下几方面优势: 1、具有清零装置和抢答控制,可由主持人操纵避免有人在主持人说“开始”前提前抢答违反规则。 2、具有定时功能,在10秒内无人抢答表示所有参赛选手获参赛队对本题弃权。 3、10秒时仍无人抢答其报警电路工作表示抢答时间耗尽并禁止抢答。 4、抢答完成后,循环显示各组的得分情况。

2总体设计 2.1设计目标 1.抢答器同时供8名选手或8个代表队比赛,分别用8个按钮K1 ~K8表示。 2.设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4.抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如10秒)。当主持人启动"开始"键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间1秒左右。 5.参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 6.如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00 7.每抢答一次,主持人对其答案进行评分一次。最后抢答全部结束后,循环显示各组的得分情况。

CD4511八路数显抢答器使用原理

一、功能简介 1、功能说明 抢答器可以根据抢答情况,显示优先抢答者的号数,同时蜂鸣器发声,表示抢答成功。抢答器由抢 答,编码,优先,锁存,数显及复位电路组成,它的组成原理图如图1所示。 2、电路原理简介 该抢答器电路可同时进行八路优先抢答。按键按下后,蜂鸣器发声,同时(数码管)显示优先抢答 者的号数,抢答成功后,再按按键,显示不会改变,除非按复位键。复位后,显示清零,可继续抢 答。SB1~SB8 为抢答键;SB9 为复位键;CD4511 是一块含BCD—7 段锁存/译码/驱动电路于一 体的集成电路,其中1、2、6、7 为BCD 码输入端,9~15 脚为显示输出端,3 脚(LT)为测试 验出端,当"LT"为0 时,输出全为1,4 脚(BI)为消隐端,BI 为0 时输出全为0,5 脚(LE)为锁 存允许端,当LE 由"0"变为"1"时,输出端保持LE 为0 时的显示状态。16 脚为电源正,8 脚为电 源负。555 及外围电路组成抢答器声响电路。整个电路可以采用4.5-9V 直流供电。

元件清单

二、电路所需器件介绍 1、CD4511 BCD-7 段译码驱动器

CD4511 是常用的七段显示译码驱动器,它的内部除了七段译码电路外,还这有锁存电路和输 出驱动器部分,具有输出电流大,最大可达25mA,可直接驱动LED 数码管。CD4511 由4 个输入端 A/B/C/D 和7 个输出端a~g,它还具有输入BCD 码锁存、灯测试和熄灭控制功能,它们分别由锁存 端LE、灯测试LT、熄灭控制端BI 来控制。引脚图如2 所示,真值表如图3 所示。 2、时基电路TLC555 TLC555 与NE555 参数基本相同,但TLC555 为

8路抢答器的数字电路

- - - 《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 设计人:罗帅学号: 专业:08电气班级:1

成绩:评阅人: 哈尔滨应用技术职业技术学院 8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封

八路数显抢答器电子工艺实习分析方案

电子工艺实习报告 题目:8路数显抢答器 院系:电气学院 日期:2018年7月 目录 第一章 Altium Designer 6.9工程实习2 第一节原理图2 一原理图设计2 二原理图元件库元件设计2 第二节 PCB图3 一 PCB设计3 二 PCB元件库元件设计3 第三节遇到问题和解决办法5 一原理图部分5 二 PCB部分5 第二章电子电路制作实习6 第一节元器件6 元件介绍6 第二节焊接技术7 一电烙铁的使用7

二手工焊接技术8 三焊点的质量检查方法9 第三节电子电路原理、整机组装和调试9 一电子电路原理9 二元器件安装的技术10 三调试11 第三章学习体会11 第四章附录12 第一节原理图12 第二节 PCB图13 第一章 Altium Designer 6.9工程实习 第一节原理图 一原理图设计 1.首先要先建立一个工程,操作为菜单单击file ,new ,project ,PCB project,然后向工程中添加原理图,操作为右击工程,单击add new to project ,schematic ,这时就会出现一张原理图,点击保存,这时分别保存了工程文件和原理图文件。 2.接下来添加元件库,操作为打开右面工具栏libraries ,单击add libraries 可以安装已经下载好的元件库,这时只要浏览到元件库所在位置,安装即可,我们选择两个常用的元件库安装。如果找不到我们需要的元件可以通过搜索功能进行搜索。 3.然后是添加元件,操作为在安装好的libraries中找到所需元件,选择元件将其拖拽到原理图空白处,然后可以对元件参数进行修改,使其满足我们的要求。

8路抢答器的设计

八路数字抢答器的设计 (湖南城市学院物理与电子信息工程系 0412301 413000) 摘要:本文选用74LS273等芯片设计一个八路数字抢答器电路,该电路主要由抢答开关阵列电路、优先编码器、储存器、译码器、显示器、控制电路、声响电路等七部分组成。能同时供八个代表队参加比赛,具有显示优先抢答代表队的编号,给出声响提示,并封锁其它代表队的输入,静止其他选手抢答等功能。采用EWB仿真软件仿真,结果完全符合设计目标。 关键词:抢答器电路;锁存电路;译码驱动电路;控制电路;数码显示电路 The Design of Eighth Route Digital Answering Machine Tu Chao (Department of Physics and Electronic Information Engineering , Hunan City University , 041230141 413000) Abstract:This ship 74LS273 is selected to design a circuit of Eight Route Digital Answering Machine. This circuit is mainly composed of seven parts the snathing swith array circuit, the priority encoder, the memory, the decoder, the display, the control circuit and so on, the sound signal circuit, It can be simultaneously used by eight teams to attend the game. It also can display the number of the first snathers , give the sound signal and prevent other team’s input so that the others can’t anach .The result completely comforms to the design goal when using the EWB to simulink. Key words:Snatches the answering electric circuit, The lock saves the electric circuit, Decoding actuation electric circuit, Control circuit, Numerical code display circuit

相关主题
文本预览
相关文档 最新文档