当前位置:文档之家› 数字逻辑实验指导书

数字逻辑实验指导书

数字逻辑实验指导书
数字逻辑实验指导书

《数字逻辑实验指导书》

实验一组合逻辑电路分析与设计

一、实验目的:

1、掌握PLD实验箱的结构和使用;

2、学习QuartusⅡ软件的基本操作;

3、掌握数字电路逻辑功能测试方法;

4、掌握实验的基本过程和实验报告的编写。

二、原理说明:

组合电路的特点是任何时刻的输出信号仅取决于该时刻的输入信号,而与信号作用前电路的状态无关。

(一)组合电路的分析步骤:

(二)组合逻辑电路的设计步骤

首先根据给定的实际问题进行逻辑抽象,确定输入、输出变量,并进行状态赋值,再根据给定的因果关系,列出逻辑真值表。然后用公式法或卡诺图法化简逻辑函数式,以得到最简表达式。最后根据给定的器件画出逻辑图。

三、实验内容

(一)组合逻辑电路分析:

1.写出函数式,画出真值表;

2.在QuartusⅡ环境下用原理图输入方式画出原理图,并完成波形仿真;

3.将电路设计下载到实验箱并进行功能验证,说明其逻辑功能。(必做)

(二)组合逻辑电路设计

1.设计一个路灯的控制电路,要求在四个不同的路口都能独立地控制路灯的亮灭。(用异或门实现)

画出真值表,写出函数式,画出实验逻辑电路图。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(必做)

要求:用四个按键开关作为四个输入变量;用一个LED彩灯(发光二极管)来显示输出的状态,“灯亮”表示输出为“高电平”,“灯灭”表示输出为“低电平”。

2.设计一个保密锁电路,保密锁上有三个键钮A、B、C。要求当三个键钮同时按下时,或A、B两

个同时按下时,或按下A、B中的任一键钮时,锁就能被打开;而当不符合上列组合状态时,将使电铃发出报警响声。试设计此电路,列出真值表,写出函数式,画出最简的实验电路。(用最少的与非门实现)。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(选做)

(注:取A、B、C三个键钮状态为输入变量,开锁信号和报警信号为输出变量,分别用F1用F2表示。设键钮按下时为“1”,不按时为“0”;报警时为“1”,不报警时为“0”,A、B、C都不按时,应不开锁也不报警。)

三、予习要求:

1.复习组合电路的分析方法和设计方法。

2.预习利用QuartusⅡ和可编程器件(PLD)进行数字电路设计的基本设计方法。

3.画出实验用电路图和记录表格,填好理论值,注明管脚号码。

四、报告要求:

1.实验目的和要求

2.实验主要仪器和设备

3.实验原理

4.实验方案设计、实验方法

5.实验步骤

6.实验结果分析

7.实验结论

8.思考题

注:①1-4项内容为实验预习内容,学生须在进实验室之前完成。

②实验结果须有指导教师签字。

实验二中规模集成组合逻辑电路的应用

一、实验目的:

(一)掌握多路选择器集成电路的一般使用。

(二)利用多路选择器实现N变量任意组合逻辑电路。

(三)初步了解基于可编程器件设计的全过程。

二、原理说明

中规模集成器件(MSI)一般都是专用功能器件,都具有某种特定的逻辑功能,(用这些功能器件实现组合逻辑函数,一般都采用对比法进行设计)。MSI组合逻辑电路其一般都具有附加的控制端,也称作片选端、

使能端。例如,双四选一数据选择器74LS153,它的每一个四选一数据选择器都有一个控制端,分别为1G和2G。以下半部分74LS153为例。

1G=0时,多路选择器才工作

:

A A为何值,1Y=0。利用片选作用可进行扩展,如把双四选一

当1G=1时,多路选择器不工作,不管

10

接成八选一。

用中规模集成器件设计组合逻辑电路方法是:首先要对被实现的逻辑函数进行变换,把它尽可能变换成与所用中规模集成器件的逻辑函数相类似的形式,然后在采取对比法进行设计。

例如:用双四选一数据选择器接成八选一数据选择器,设计一个三人多数表决电路。该电路的三个输入端A、B、C分别代表三个人,输出端F表示表决结果。用1表示同意和通过,用0表示不同意和不通过。

根据真值表可写出逻辑函数式: F A B C A B C A B C A B C

=+

++ 由八选一数据选择器的功能表可得当S =0时,八选一数据选择器输出Y 的表达式为

设2A =A ,1A =B

,0A =C ,比较F 和Y 两式可得13D =21D =22D =23D =1, 10D =11D =12D =20D =0,接图1连线,即可实现三人多数表决功能。

图 1

译码器:一个n 变量的二进制译码器的输出包含了n 个变量的所有最小项(共2n 个)。例如74LS138是3

由功能表可得,当1S =1,230S S +=时,允许译码器工作,否则就禁止译码。

在允许译码的条件下,可得

故用译码器可实现函数变量的个数小于等于译码器地址线个数的多端输出的逻辑函数。 例:用74LS138译码器和门电路实现函数F AB BC =+。

解:变换函数式,得F ABC ABC ABC =++, 则只要将输入变量接到2A 、1A 、0A 端可得:

电路接线图如下:

图 2

三、实验内容及步骤:

(一) 多路选择器的VHDL 实现及应用 1. 多路选择器的VHDL 实现

用VHDL 实现具有控制端G 的“四选一”多路选择器,并完成对波形的仿真。

2.利用上述“四选一”和与非门及或门完成下列设计,在Quartus Ⅱ环境下实现设计,并将设计下载

到实验箱并进行功能验证。

1) 人类有四种基本血型,A 、B 、AB 、O 型,O 型血可以输给任意血型的人,而他只能接受O 型;

AB 型可以接受任意血型,但他只能输给AB 型;A 型能输给A 型或AB 型,可以接受A 型或O 型;B 型能输给B 型或AB 型,可以接受B 型或O 型;请设计一个逻辑电路,其输入是欲进行“输送——接受”的血型,当符合上述规则时,电路输出F 为1,其余为O ,写出函数式,画出真值表,画出实验电路图。在Quartus Ⅱ环境下实现设计,并下载到实验箱并进行功能验证。

(注:只要四个输入变量X ,Y ,Z ,W ,用X ,Y 的不同组合表示输送者的血型,用Z ,W 的不同组合表示接受者的血型,如下表所示:

2)某导弹发射场有正、副指挥员各一名,操作员两名。当正副指挥员同时发出命令时,只要两名操纵员中有一人按下发射按钮,即可产生一个点火信号,将导弹发射出去,请设计一个组合逻辑电路。完成点火信号的控制,写出函数式,列出真值表,画出实验电路图。(选做)

(二)译码器的应用

1)试用中规模138译码器和与非门设计一个全减器。画出真值表,写出表达式,画出实验电路图。

在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(设A i为被减数,B i为减数,C i-1为低位向本位的借位,S i是差值,C i是本位向高位的借位)。

2)A、B、C三个车间,由发电机F1、F2供电,F1的发电量是F2的两倍,A的用电量等于F1的发电量,B或C的用电量等于F2的发电量,当车间用电超过发电量时,应停机,并产生报警信号L,请设计发电机启停控制电路和报警电路,写出函数式,列出真值表,画出实验电路。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(选做)

四、予习要求:

(一)复习集成多路选择器构成逻辑电路的方法。

(二)在实验前熟悉附录所给出的集成与非门,多路选择器,译码器管脚图,使能端用法及原理图。

(三)预习利用QuartusⅡ和可编程器件(PLD)进行数字电路设计的基本设计方法。

五、报告要求:

1.实验目的和要求

2.实验主要仪器和设备

3.实验原理

4.实验方案设计、实验方法

5.实验步骤

6.实验结果分析

7.实验结论

8.思考题

注:①1-4项内容为实验预习内容,学生须在进实验室之前完成。

②实验结果须有指导教师签字。

实验三时序逻辑电路分析和设计

一、实验目的:

(一)掌握时序电路的分析和设计。

(二)应用时序电路解决实际问题。

二、实验原理

1、分析一个时序电路,就是要找出给定时序电路的逻辑功能。具体地说就是要求找出电路的状态和输出状态在输入变量和时钟信号作用下的变化规律。分析步骤如下:

①写方程

根据给定的逻辑图写出各触发器的时钟方程,驱动方程和电路的输出方程。

②求各触发器的驱动方程

把各触发器的驱动方程带入各触发器的特性方程,得各触发器的状态方程。

③求状态转换表

设初态求次态,直到回到初态为止。

④检验电路能否自启动。

⑤分析逻辑功能。

2、时序逻辑电路的设计

三、实验内容:

(一)时序电路分析:

分析下列时序电路,说明其功能,画出Q1,Q0,Z1,Z2的波形图,并在QuartusⅡ环境下用实验验证。

(二)时序电路设计:

用74LS74及与非门设计一个同步时序逻辑电路,要求满足如下图所示的波形。

1.简要写出设计过程,画出原理图,注明管脚。

2.完成对设计的波形仿真。

(三)设计一个控制电路,控制一台自动出售四分邮票的装置。输入是代表一分、二分、五分硬币的脉冲信号——顾客投入的硬币,输出是代表邮票和找还给顾客硬币的脉冲信号。在QuartusⅡ环境下实现设计,并将设计下载到实验箱并进行功能验证。〔提示:一般情况下,某一时刻只会投入一种硬币,即输入信号是互相排斥的,投入的硬币总数小于八分,按下手动按钮出售邮票和找钱。〕

五、予习要求:

1.复习时序逻辑电路的分析方法和设计方法。

2.内容(一)写出分析的过程,画出理论波形,说明功能。

3.内容(二)(三)写出设计过程,画出实验电路。

六、报告要求:

1.实验目的和要求

2.实验主要仪器和设备

3.实验原理

4.实验方案设计、实验方法

5.实验步骤

6.实验结果分析

7.实验结论

8.思考题

注:①1-4项内容为实验预习内容,学生须在进实验室之前完成。

②实验结果须有指导教师签字。

实验四计数译码显示电路设计

一、实验目的

通过使用QuartusII开发工具设计简单数字电路的实验,学习有关可编程逻辑器件PLD的基本知识,掌握原理图设计输入、编译、仿真的基本方法,提高对EDA设计方法的认识。为进一步学好用好EDA设计奠定基础

二、实验要求

(1)利用QuartusII开发系统采用原理图方式进行设计,计数器每秒计一次数,外围8个数码管显示十进制计数器的计数结果,同时计数器的输出又作为数码管位译码输入信号,从而形成扫描信号。

(2)输入设计完成后,为验证逻辑功能的正确性应进行逻辑功能仿真。

(3)下载到实验板进行实验验证。

三、实验设备

个人计算机、KANGXIN PK3实验箱,PLD器件型号为Cyclone系列的EP1C3T144C8。

四、实验过程

(一)电路设计框图

图1 总体设计框图

图2 带位译码选通的数码管显示电路

(二)设计原理

图3

设计原理图

在原理图方式中设计了两个模块,其中一个使用

74160十进制加法计数器的输出QA,QB,QC形成共阴极数

码管位译码选通输入信号(8个数码管需8位扫描信号,

实验板上8个数码管带3×8译码器,因此只需三位扫描

信号)。QA,QB,QC,QD作为另一个BCD码译码模块的输

入数据,译码模块的输出为七段显示码,输出端口A~G

通过数码管驱动电路分别驱动各段来点亮动态数码管。

74160的输入端有时钟信号CLK和复位信号RESET。

(三)设计过程

a、打开图形编辑器

点击File菜单,选择New选项,打开如图4所示New

对话框,单选Block Diagram/Schematic File,最后点击OK

按钮。

b、原理图设计输入

在图形编辑器窗口的空白处单击鼠标左键以确定输

入位置,双击鼠标左键或点击工具栏按钮,将出现

一个Symbol对话框,如图5所示,在

Library框中鼠标点击以选择相应的

库。则所选库中所有图元在列表框中

显示出来,单击选择所需图元,然后

选择OK,即可输入图元。

PLD器件

图4 New对话

(1)输入图元

根据原理图,在该实验中,需输入74160和7448图元,这两个图元在符号库“.. \others\maxplus2”中;以及输入input、output和vcc图元,这三个图元在符号库“.. \Primitives\Pin\”和“...\ Primitives\other”中。

(2)连线

图元输入完成后,需将对应的两个端口连接。将鼠标移到其中一个端口,则鼠标自动变为’+’形状。一直按住鼠标左键并将鼠标拖到第二个端口,放开左键,则一条连线被画好了。如果需要删除一条连线,单击该线并按Del键即可。

(3)端口命名

端口连接完成后,还需对输入、输出图元或节点命名。

在图元的PIN_NAME处双击,然后输入名字,名字第一个字符必须是字母。

c、保存文件

选择File菜单的Save选项,将出现如图6 Save As对话框。在File Name对话框内输入设计文件名,注意文件扩展名为“.gdf”,然后选择OK即可保存文件。

图6 Save As对话框

d、编译文件

编译文件按如下步骤进行:

首先,在编译一个文件前,必须确定一个设计文件作为当前项目。

按如下步骤确定项目名:

(1)在File菜单中选择NEW Project Wizard项,将出现项目向导对话框,点击Next出现图7对话框,选择项目所在文件夹和项目名称以及顶层文件名,注意项目所在的文件夹必需都是英文名,顶层

文件名必需和实体名相同。

图7 Project 向导对话框

(2)点击“Next”按钮,在File name列表框内选择需编译的文件,如图8,再点击“add”将所选文件添加到当前项目中;

图8

(2) 继续点击“next ”按钮,进入目标器件选择界面,如图9所示。

其次,选择目标文件下载的目标器件。也可以直接选择“finish ”结束项目的创建,在后面的编辑过程中再通过主菜单“Assignment/device ” 进入目标器件选择界面。

在Family 框内选择器件系列,这里我们选择 Cyclone 系列,在列表框Available devices 中选择具体型号为EP1C3T144C8。点击“finish ”结束项目的创建。

最后,打开编译器窗口编译

在系统的processing 菜单中选择Start compilation 项即可进行编译。编译成功会出现如下对话框。

e 、逻辑仿真

程序下载前,为验证以上所设计电路的逻辑功能是否正确,可先进行逻辑功能仿真。 <1>首先生成仿真波形文件 (a )打开波形编辑器

点击主菜单的File/New/选项,出现选择菜单,选择“Other Files ”项中的“Vector Waveform File ”,如图11,就可在屏幕上显示波形编辑器窗口。在未输入信号名以前,整个窗口是空白的。

图9 Device 对话框

图10 编译成功信息对话框

(b )确定仿真持续时间

选择主菜单Edit 中的End Time 选项,可打开End Time 对话框来设定仿真时间的长短,通常设置为毫秒(ms)级。

(c )插入输入输出端口名

点击主菜单Edit/Insert/Insert Node or Bus 可打开如图12所示对话框。点击

,出现图13所示对话框,在“Filter :”

选项中选择“Pins:all ”,点击对话框右上角的List 选项,则在

Available Nodes & Groups 列表栏中列出了所有输入输出端口名。

点击对话框中间的>>按钮,将输入输出端口名选进右侧的Selected Nodes 栏,然后点击OK

按钮。则在波

13

图11

图12

形编辑窗口显示了输入输出端口名。 (d )编辑输入信号波形

通过波形编辑器左侧的信号设置工具栏可以进行输入信号的波形编辑。对于输出信号波形,由仿真结果确定,可以把它设置为缺省值。

(e )存盘

信号波形编辑完成后存盘,文件名采取默认方式即可。 <2>打开仿真器;

点击主菜单Processing\Start Simulator 项,仿真即开始。仿真结束后出现“Simulator was successful ”对话框,单击“确定”按钮即可以显示仿真结果。如图14所示。

f 、器件编程

器件编程按以下步骤完成:

(1)引脚配置。即选择PLD 目标器件的某些I/O 管脚作为所设计电路的输入输出端口。 具体操作为:选择主菜单Assignment/Pins 选项,打开管脚配置窗口。如图15所示。

15

图14 仿真结果

在列表框中会出现所设计电路的输入输出端口命名。将输入输出端口名分别用鼠标拖放到器件的一个I/O 管脚上,实现引脚的配置。引脚配置完成后,再编译一次,系统生成最终编程文件。引脚配置步骤如下:(a)根据电路需要,选择实验电路图。本实验选实验电路模式6(有高低电平按键和7段显示译码输出)。

(b)确定电路输入输出所对应的器件。本实验电路选按键8(对应系统接口PIO13)接Reset端,选数码管8作为7段显示输出,7段输出A,B,C,D,E,F分别接系统接口PIO40-PIO46。指示灯D6、D7、D8

(对应系统接口PIO21、PIO22、PIO23)分别接扫描输出SS0、SS1、SS2。时钟输入端clk接系统时钟clock0(用跳线选择1Hz)。

(c)查KX-PK3实验手册的“系统万能接插口与结构图信号/与芯片引脚对照表”,根据选择的芯片型号,确定各信号所对应的芯片实际引脚,并进行配置。本实验系统选择的FPGA芯片为EP1C3TC144C8。

图16是本次实验的引脚配置情况。

(d)引脚配置完后重新编译一次。

图16 引脚配置情况

(2)打开编程器窗口编程。

在QuartusII主菜单中选择Tool/Programmer项,编程器窗口如图17所示。

通过JTAG实现在系统编程:

(a)将ByteBlaster电缆一端与微机并行口相连,另一端与实验箱的JTAG下载口相连。并打开实验箱电源。

(b)点击Hardware Setup按钮,将出现如下对话框,见图17。再点击对话框中的Add Hardware按钮,在下拉条中选择ByteBlaster(MV),指定配置时使用的并行口LPT1,点击Close按钮退出。

(c)在编程器窗口中检查编程文件和目标器件型号是否正确。如果选择的编程文件不正确,可以通过Delete、Add file、Change file按钮来删除、添加和更换文件。注意:对于该型号器件配置(编程)文件的扩展名为“.sof”。

(d)连接好试验箱及编程插座线,单击Start按钮启动编程下载。

图17

g、实验操作

按下按键8,观察显示器显示结果。增大或减小计数时钟频率,结果会发生什么变化?

五、实验预习

学习QuartusII 软件的基本操作,认真阅读实验指导书,弄清内容与要求,熟悉设计原理及设计流程,。

六、实验报告

(1)设计步骤;

(2)具体操作过程;

(3)逻辑仿真波形;

(4)实验结果;

(5)实验心得、体会及意见。

实验五 综合逻辑电路设计及测试

一、实验目的

1、掌握简单数字系统的分析和设计方法;

2、能够熟练地、合理地选用集成电路器件;

3、提高电路布局、布线及检查和排除故障的能力。 二、实验内容及要求

本实验有两个设计项目,分别为A 、B 两个等级,可自行选择其中的一个进行实验。 1、四位并行累加器(等级A )

(1)利用4位二进制加法器、4位寄存器、十进制计数器以及适当的门电路,实现一个四位并行累加器的设计,要求具有异步清零控制和累加使能控制(高电平累加允许,低电平输出数据保持),并且输入的4位二进制数据为8421BCD 码(即对多个任意一位十进制数进行累加求和),累加结果不超过99;(必做内容)

提示:在累加器工作前,要将累加器清零;在累加使能允许的情况下,通过对累加时钟的控制实现寄存器数据与输入数据相加,结果送入寄存器保存。多次重复这个过程,从而实现了多个数据的累加。累加器原理框图如下图所示

(2)累加器具有溢出(累加结果超过99)显示和溢出报警功能;(选做内容) (3)完成对设计的波形仿真;(必做内容) (4)将设计下载并验证功能。(必做内容)

要求:用6个按键开关分别作为4位输入数据、异步清零控制和累加使能控制,用两位LED 数码管显示累加结果,用单步脉冲作为累加脉冲。

2、汽车转向灯控制器(等级B )

(1)控制器有3个灯光控制开关,即左转开关、右转开关和应急开关,将这3个开关作为电路的输入变量;控制器的输出变量分别控制左仪表灯、左前灯、左后灯和右仪表灯、右前灯、右后灯的工作状态,分别由实验箱上的两组红、黄、绿共6个彩灯来显示。控制器的具体工作状态表见表3.1所示。要求用最少数量的门电路来设计控制器。(必做内容)

提示:灯的闪烁是通过连续脉冲信号的高、低电平交替变化来实现的。 汽车控制器的工作状态表

输出数据(个位 )

输出数据(十位 )

(2)对设计进行波形仿真;(必做内容)

(3)将设计下载到实验箱并进行硬件功能测试;(必做内容)

要求:用实验箱上的3个按键开关作为控制器的控制开关,灯闪烁的频率为1Hz且亮灭时间相同;

三、实验准备

(1)复习常用的组合逻辑芯片和时序逻辑芯片的功能,确定设计方案;

(2)完成设计报告,掌握设计的原理。

四、实验报告要求

1.实验目的和要求

2.实验主要仪器和设备

3.实验原理

4.实验方案设计、实验方法

5.实验步骤

6.实验结果分析

7.实验结论

8.思考题

注:①1-4项内容为实验预习内容,学生须在进实验室之前完成。

②实验结果须有指导教师签字。

附件1:

基于QarteusII(图形输入法)的设计过程

1.启动QarteusⅡ软件,选择File/New project菜单,弹出新建项目向导窗口,点击“NEXT”按钮,出现如

下图所示窗口。选择项目所在的文件夹(必需是英文的目录及名称),选择(或输入)该项目的名称、顶层实体文件名,然后点击“FINISH”结束向导。

2.在出现的设计界面下,选择File/New菜单,弹出菜单中选择Block Diagram/Schmatic File,单击“OK”

按钮,打开原理图编辑器,如下图所示。

3.在原理图设计输入电路编辑状态下,点击原理图编辑器中的工具条按钮,出现如下界面。点击左边元件

库,选择所需要的元件放置到右边原理图编辑区,并进行连线。

数字逻辑实验指导书(multisim)(精)

实验一集成电路的逻辑功能测试 一、实验目的 1、掌握Multisim软件的使用方法。 2、掌握集成逻辑门的逻辑功能。 3、掌握集成与非门的测试方法。 二、实验原理 TTL集成电路的输入端和输出端均为三极管结构,所以称作三极管、三极管逻辑电路(Transistor -Transistor Logic 简称TTL电路。54 系列的TTL电路和74 系列的TTL电路具有完全相同的电路结构和电气性能参数。所不同的是54 系列比74 系列的工作温度范围更宽,电源允许的范围也更大。74 系列的工作环境温度规定为0—700C,电源电压工作范围为5V±5%V,而54 系列工作环境温度规定为-55— ±1250C,电源电压工作范围为5V±10%V。 54H 与74H,54S 与74S 以及54LS 与74LS 系列的区别也仅在于工作环境温度与电源电压工作范围不同,就像54 系列和74 系列的区别那样。在不同系列的TTL 器件中,只要器件型号的后几位数码一样,则它们的逻辑功能、外形尺寸、引脚排列就完全相同。 TTL 集成电路由于工作速度高、输出幅度较大、种类多、不易损坏而使用较广,特别对我们进行实验论证,选用TTL 电路比较合适。因此,本实训教材大多采用74LS(或74系列TTL 集成电路,它的电源电压工作范围为5V±5%V,逻辑高电平为“1”时≥2.4V,低电平为“0”时≤0.4V。 它们的逻辑表达式分别为:

图1.1 分别是本次实验所用基本逻辑门电路的逻辑符号图。 图1.1 TTL 基本逻辑门电路 与门的逻辑功能为“有0 则0,全1 则1”;或门的逻辑功能为“有1则1,全0 则0”;非门的逻辑功能为输出与输入相反;与非门的逻辑功能为“有0 则1,全1 则0”;或非门的逻辑功能为“有1 则0,全0 则1”;异或门的逻辑功能为“不同则1,相同则0”。 三、实验设备

学习数电心得体会

学习数电心得体会 篇一:学习数字电路之心得体会 学习数字电路之心得体会 不知不觉中,本学期数字电路的学习就要结束了,现在回想一下,到底学了哪些东西呢如果不看书的话,真有点记不住学习内容的先后顺序了,看了目录以后,就明白到底学了什么东西了,最开始学的内容还比较简单,而后面的内容就学得糊里糊涂了,似懂非懂,按老师的说法,就是前面的东西只有十几度的水温,而到了后面,温度就骤升了,需要花更多的时间。 其实吧,总的来说,学习的思路还是很清楚的,最开始学的是数制与码制,特别是二进制的一些东西,主要是为后面的学习打基础,因为对于数字电路来说,输入就是0和1,输出也是这样,可以说,明白二进制是后面学习最基础的要求。到第二章,又学了一些逻辑代数方面的基本知识,首先就有很多的逻辑代数的公式,然后就是逻辑函数了,我感觉这里的函数和原来学的其实都差不多,只不过这里是逻辑函数,每一个变量的取值只有0和1罢了,然后就是用不同的方式来表达逻辑函数,学了很多方法,有逻辑图,波形图等等,过后又学了逻辑函数的两种标准形式—最小项之和和最大项之积,还有逻辑函数的化简方法,之后还有一些无关项和任意项的知识。总而言之,前两章的内容还是比较简单的,

都是一些基础的东西,没有多大的难度,学习起来也相对轻松。 第三章老师没有讲,是关于门电路的知识,我认为还是比较重要的,因为数字电路的构成就是一系列的门电路的组合,以此来完成一定的功能。第四章讲的是组合电路,说白了,就是组合门电路来实现 特定的功能,其最大的特点就是此时的输出只与此时的输入有关,并且电路中不含记忆原件。首先,学习组合电路,我们要知道如何去分析,确定输入与输出,写出各输出的逻辑表达式并且化简,然后就可以列出真值表了,那么,这个电路的功能也就一目了然了,而关于组合电路的设计,其实就是组合电路分析方法的逆运算,设计思路很简单,只要按着步骤来,一般没什么问题,在数电实验课上,就有组合逻辑电路的设计,需要我们自己去设计一些具有特定功能的组合电路,还是挺有趣的。过后还学了一些常用的组合逻辑电路,比如编码器,译码器,数据选择器,加法器等等,我感觉这些电路都挺复杂的,分析起来都很麻烦,更别说设计了,我要做的就是明白它的工作原理,知道它的设计思想就行了。最后了解了一下组合逻辑电路中存在的竞争冒险现象。 我觉得第五章和第六章是比较难的,第五章讲的是触发器,就是一种具有记忆功能的电路,我感觉这一章是学得比较乱的,首先,触发器的种类有点多,有SR锁存器,D触发

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字逻辑心得体会(多篇范文)

数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动

了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发(请你支持)扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o接口地址译码电路,设计火灾

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

[整理]15数字逻辑实验指导书1

------------- 数字逻辑与数字系统实验指导书 青岛大学信息工程学院实验中心巨春民 2015年3月

------------- 实验报告要求 本课程实验报告要求用电子版。每位同学用自己的学号+班级+姓名建一个文件夹(如2014xxxxxxx计算机X班张三),再在其中以“实验x”作为子文件夹,子文件夹中包括WORD 文档实验报告(名称为“实验x实验报告”,格式为实验名称、实验目的、实验内容,实验内容中的电路图用Multisim中电路图复制粘贴)和实验中完成的各Multisim文件、VerilogHDL源文件、电路图和波形图(以其实验内容命名)。

实验一电子电路仿真方法与门电路实验 一、实验目的 1.熟悉电路仿真软件Multisim的安装与使用方法。 2.验证常用集成逻辑门电路的逻辑功能。 3.掌握各种门电路的逻辑符号。 4.了解集成电路的外引线排列及其使用方法。 5. 学会用Multisim设计子电路。 二、实验内容 1.用逻辑门电路库中的集成逻辑门电路分别验证二输入与门、或非门、异或门和反相器的逻辑功能,将验证结果填入表1.1中。 注:与门型号7408,或门7432,与非门7400,或非门7402,异或门7486,反相器7404. 2.用 L=ABCDEFGH,写出逻辑表达式,给出逻辑电路图,并验证逻辑功能填入表1.2中。 ()' 三、实验总结 四、心得与体会

实验二门电路基础 一、实验目的 1. 掌握CMOS反相器、与非门、或非门的构成与工作原理。 2. 熟悉CMOS传输门的使用方法。 3. 了解漏极开路的门电路使用方法。 二、实验内容 1. 用一个NMOS和一个PMOS构成一个CMOS反相器,实现Y=A’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-1。 表2-1 CMOS反相器逻辑功能表 2. 用2个NMOS和2个PMOS构成一个CMOS与非门,实现Y=(AB)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-2。 3. 用2个NMOS和2个PMOS构成一个CMOS或非门,实现Y=(A+B)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-3。 表2-3 CMOS或非门逻辑功能表 4. 用CMOS传输门和反相器构成异或门,实现Y=A B 。给出电路图,测试其逻辑功能填入表2-4。

数字逻辑实验教案

滁州学院计算机与信息工程学院 实验课程教案 课程名称:数字电路分析与设计 授课教师:姚光顺 授课对象:11网工、计科 授课时间:20XX年2月-2012月7月 滁州学院计算机与信息工程系 20XX年2月 《数字逻辑》实验教学大纲

课程编号: 课程名称:数字逻辑 英文名称:Digital Logic 课程类型:专业基础课 课程属性:独立设课 总学时:16总学分:0.5 开设学期:2 适用专业:计算机科学与技术网络工程 先修课程:大学物理电路原理 一、实验课程简介 数字逻辑实验,是数字逻辑课程教学内容的延伸和加强。在电子产品广泛应用的前提下,对于每一个大学生,具备一定电工电子基本知识和应用能力是必不可少的。因此,数字逻辑实验教学是按在相关理论教学的基础上,根据教学实际情况所开设的重点技术基础实验课程。通过实验,可以加深学生对课程内容中重点、难点的理解,培养其动手能力。 二、实验教学目标与基本要求 本课程的作用与任务是:使学生进一步掌握数字逻辑电路的分析与设计的基本方法,了解数字逻辑物理器件的主要技术参数,以及物理设计中的制作、调试、故障诊断的基本技能。要求学生在实验原理指导下,熟悉和掌握常用中、大规模集成电路的功能和在实际中应用的方法,具备基本电路的设计能力。培养学生检查与排除电路故障、分析和处理实验结果、分析误差和撰写实验报告的能力,旨在培养学生综合运用知识能力、严谨细致的工作作风和一丝不苟的科学态度。 三、本实验课程的基本理论与实验技术知识 本实验课基于门电路、组合逻辑电路、触发器、时序逻辑电路、A/D和D/A转换的基本理论而设定。 四、实验方法、特点与基本要求 实验分为实验预习、实验操作、实验总结三个步骤: 1、实验预习 1) 明确实验目的,理解实验原理; 2) 了解实验环境; 3) 了解实验方法,拟定实验的操作步骤; 2、实验操作 1) 建立实验环境,进行实验操作,培养实践动手能力 2) 实验过程中认真观察实验现象,详细记录实验结果 3) 实验结束前,整理好实验设备,经指导教师验收方可退出实验室 3、实验总结 通过对实验记录的整理,以加深对所学理论知识的理解,不断总结、积累经验,从而提高动手能力。

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

数字逻辑实验指导书(1)

实验一 实验箱及小规模集成电路的使用 一 实验目的 1 掌握实验箱的功能及使用方法 2 学会测试芯片的逻辑功能 二 实验仪器及芯片 1 实验箱 2 芯片:74LS00 二输入端四与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六非门 1片 三 实验内容 1 测试芯片74LS00和74LS86的逻辑功能并完成下列表格。 (1) 74LS00的14脚接+5V 电源,7脚接地;1、2、4、5、9、10、12、13脚接逻辑开关,3、6、8、11接发光二极管。(可以将1、4、9、12接到一个逻辑开关上,2、5、10、13接到一个逻辑开关上。)改变输入的状态,观察发光二极管。74LS86的接法74LS00基本一样。 表 74LS00的功能测试 表 74LS86的功能测试 (2)分析74LS00和74LS86的四个门是否都是完好的。 2 用74LS00和74LS04组成异或门,要求画出逻辑图,列出异或关系的真值表。 (3)利用74LS00和74LS04设计一个异或门。画出设计电路图。

实验二译码器和数据选择器 一实验目的 1继续熟悉实验箱的功能及使用方法 2掌握译码器和数据选择器的逻辑功能 二实验仪器及芯片 1 实验箱 2 芯片:74LS138 3线-8线译码器 1片 74LS151 八选一数据选择器 1片 74LS20 四输入与非门 1片 三实验内容 1 译码器功能测试(74LS138) 芯片管脚图如图所示,按照表连接电路,并完成表格。其中16脚接+5V,8脚接地,1~6脚都接逻辑开关,7、9、10、11、12、13、14、15接发光二极管。 表 2 数据选择器的测试(74LS151) 按照表连接电路,并完成表格。其中16脚接+5V,8脚接地;9、10、11,为地址输入端,接逻辑开关;4、3、2、1、12、13、14、15为8个数据输入端,接逻辑开关;G为选通输入端,Y为输出端,接发光二极管。

《数字逻辑电路》教学大纲

《数字逻辑电路》教学大纲 开课系:信息工程系 适用专业及学生层次:初中起点 学时:112课时 先修课:电工基础、电子电路 后续课:微机原理、现代移动通信、程控交换技术 推荐教材及参考教材:《数字逻辑电路》 编写人:XXX 一、说明 1、课程的性质和内容 本门课程是通讯专业通用教材。主要内容包括数字电路基础,逻辑门电路,组合逻辑电路,触发器,时序逻辑电路,脉冲信号的产生与整形,数模和模数转换,数字集成电路应用以及有关实验等。 2、课程的任务和要求 第一,以能力为本位,重视实践能力的培养,突出职业技术教育特色。 第二,吸收和借鉴各地教学改革的成功经验,专业课教材的编写采用了理论知识与技能训练一体化的模式。 第三,更新教材内容,使之具有时代特征。 第四,贯彻国家关于职业资格证书与学业证书并重,职业资格证书制度与国家就业制度相衔接的政策精神,力求教材内容涵盖有关国家职业标准的知识、技能要求,确实保证毕业生达到中级技能人才的培养目标。 3、教学中应注意的问题 第一,根据企业的需要,确定学生应具备的能力结构和知识结构。 第二,教学中应时刻充实新知识、新技术、新设备和新材料。 第三,注意理论与实际结合。

二、学时分配表

三、课程内容与教学要求 第一章数字电路基础 教学要求 (1)掌握数字电路的特点 (2)明确各进制间的转换规则 (3)掌握基本的逻辑运算 (4)熟悉基本逻辑公式和逻辑定理 (5)掌握逻辑函数化简方法 (6)熟悉逻辑函数的各种表示方法以及相互转换的方法 (7)掌握半导体开关特性 教学内容 (1)掌握模拟电路和数字电路的各自特点以及它们的区别 (2)明确二进制、八进制、十六进制和十进制的表示方法以及转换时的不同规则 (3)掌握与、或、非三个最基本逻辑运算的逻辑符号、真值表及逻辑功能。(4)熟悉掌握逻辑电路中的运算律和等式的三个规则,了解异或运算的公式(5)熟悉利用逻辑运算规则及各种定律化简逻辑函数——即公式化简法,了解什么是卡诺图,熟练掌握逻辑函数卡诺图化简法。 (6)熟悉逻辑函数的表达式、卡诺图、真值表、波形图、逻辑图的转换方法。(7)掌握半导体二级管的单向导电性,掌握三极管的工作要求,工作在饱和、放大和截止区域的条件要求。 教学建议: 本章是数字逻辑电路的基础,与今后的学习内容紧密联系,学生应熟练掌握。 第二章逻辑门电路 教学要求 (1)掌握分立元件门电路的基本组成 (2)熟悉TTL集成门电路的特点 (3)熟悉CMOS集成门电路的常用门 (4)明确门电路的应用 教学内容 (1)掌握与、或、非门的各自特点和功能,熟悉组合后的复合门电路的特点

数字逻辑感想

数字逻辑实验感想 本学期我们开设了数字逻辑实验课,在实验课中,我学到了很多在平时的学习中学习不到的东西。为期六周的的实验就要画上一个圆满的句号了,回顾这六周的学习,感觉十分的充实,通过亲自动手,使我进一步了解了数字逻辑实验的基本过程和基本方法,为我今后的学习奠定了良好的实验基础。 首先,在对所学的理论课而言,实验给了我们一个很好的把理论应用到实践的平台,让我们能够很好的把书本知识转化到实际能力,提高了对于理论知识的理解,认识和掌握。其次,对于个人能力而言,实验很好的解决了我们实践能力不足且得不到很好锻炼机会的矛盾,通过实验,提高了自身的实践能力和思考能力,并且能够通过实验很好解决自己对于理论的学习中存在的一些知识盲点。 回顾六个实验的过程,总的来说收获还是很多的。最直接的收获是提高了实验中的基本操作能力,并对EDA仪器有了了解,并掌握了基本的操作。但感到更重要的收获是培养了自己对实验的兴趣。还有,就是切身的体验到了严谨的实验态度是何等的重要。 不过说实话,在做试验之前,我以为不会难做,就像以前做的实验一样,操作应该不会很难,做完实验之后两下子就将实验报告写完,直到做完几次实验后,我才知道其实并不容易做。它真的不像我想象中的那么简单,天真的以为自己把平时的理论课学好就可以很顺利的完成实验,事实证明我错了。在最后的综合实验中,我更是受益匪浅。

学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,但是在随后的实验过程中我真的感觉学会了很多,学到了很多知识,在实践中更加理解了书本上的理论知识的经典所在以及这门学科的意义和用处!真心希望以后的课程都能将理论与实践充分的结合起来,在实践的过程中串联书本的知识,让理论化为实践的力量!

数字逻辑教学大纲

《数字逻辑》 教学大纲 哈尔滨师范大学 计算机科学与信息工程学院

《数字逻辑》 一、课程设置的有关说明 1.数字逻辑课程是计算机科学与技术专业重要的必修课。 2.数字逻辑是基于数字电路相关知识的计算机硬件基础课程,是计算机硬件课程体系的一个重要知识环节。 3.设置本课程的目的和要求:由于一方面数字逻辑是一门涉及面较宽的综合性学科,另一方面也是一门正在迅速发展前沿的学科,新的思想、新的理论以及新的方法不断涌现,还有一点值得注意的是数字逻辑在计算机及其相关领域得到广泛的应用。为此,本课在选材、内容组织等方面力求做到:科学性、新颖性、实用性,力图在阐明基本原理和方法的同时,也能反映某些最新的研究成果,使学生比较牢固地掌握本课程分支的基本理论知识及实际应用能力。 本门课程共70学时,其中理论课54学时,实验课16学时;总学分为3学分。 4.本门课程主要讲授数字逻辑的基本理论及设计原理和相关实践,全面介绍数字逻辑的基本概念、设计原理、工作原理、实际应用、技术开发和该技术的未来发展方向和趋势,通过学习该知识体系使学生基本掌握该知识体系得理论知识和该知识体系在计算机相关领域的实际应用,及该课程体系在计算机硬件知识体系的重要地位。并为将来独立的从事基于计算机硬件知识体系的研究与开发打下更坚实的基础。 二、具体教学内容 第一章基本知识(4学时) 1.教学目的和教学基本要求: 掌握数字量与模拟量的特点,数字电路的特点、应用;了解二进制的算术运算与逻辑运算的不同之处;掌握不同数制之间的相互转换;掌握带符号二进制数的代码表示;掌握几种常用的编码。 2.内容提要: 第一节概述

第二节数制及其转换 第三节带符号二进制数的代码表示 第四节几种常用的编码 3.复习思考题: (1)二、八、十六进制数的转换 (2)8421、2421、余三码的组成 (3)格雷码和二进制转换 第二章逻辑代数基础(12学时) 1.教学目的和教学基本要求: 掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式;掌握逻辑函数的三种表示方法(真值表法、逻辑式法、卡诺图法)及其相互之间的转换;掌握逻辑函数的公式化简法和卡诺图化简法;掌握最小项、最大项、约束项的概念及其在逻辑函数化简中的应用。 2.内容提要: 第一节逻辑代数的基本概念 第二节逻辑代数的基本定理和规则 第三节逻辑函数表达式的形式与变换 第四节逻辑函数化简 3.复习思考题: (1)利用逻辑代数基本公式对逻辑函数化简。 (2)化简逻辑函数为最小项之和形式。 (3)利用卡诺图法化简逻辑函数公式。 第三章集成门电路与触发器(12学时) 1.教学目的和教学基本要求: 了解门电路的定义及分类方法;掌握二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理;了解TTL与非门的工作原理,静态输入、输出、电压传输特性及输入端负载特性,开关特性;了解其它TTL门(与非门、或非门、异或门、三态门,OC门)的工作原理及TTL门的改进系列;了解CMOS反相器的工作原理及静态特性;

数字逻辑实验指导书

《数字逻辑实验指导书》 实验一组合逻辑电路分析与设计 一、实验目的: 1、掌握PLD实验箱的结构和使用; 2、学习QuartusⅡ软件的基本操作; 3、掌握数字电路逻辑功能测试方法; 4、掌握实验的基本过程和实验报告的编写。 二、原理说明: 组合电路的特点是任何时刻的输出信号仅取决于该时刻的输入信号,而与信号作用前电路的状态无关。 (一)组合电路的分析步骤: (二)组合逻辑电路的设计步骤 首先根据给定的实际问题进行逻辑抽象,确定输入、输出变量,并进行状态赋值,再根据给定的因果关系,列出逻辑真值表。然后用公式法或卡诺图法化简逻辑函数式,以得到最简表达式。最后根据给定的器件画出逻辑图。 三、实验内容 (一)组合逻辑电路分析: 1.写出函数式,画出真值表; 2.在QuartusⅡ环境下用原理图输入方式画出原理图,并完成波形仿真; 3.将电路设计下载到实验箱并进行功能验证,说明其逻辑功能。(必做)

(二)组合逻辑电路设计 1.设计一个路灯的控制电路,要求在四个不同的路口都能独立地控制路灯的亮灭。(用异或门实现) 画出真值表,写出函数式,画出实验逻辑电路图。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(必做) 要求:用四个按键开关作为四个输入变量;用一个LED彩灯(发光二极管)来显示输出的状态,“灯亮”表示输出为“高电平”,“灯灭”表示输出为“低电平”。 2.设计一个保密锁电路,保密锁上有三个键钮A、B、C。要求当三个键钮同时按下时,或A、B两 个同时按下时,或按下A、B中的任一键钮时,锁就能被打开;而当不符合上列组合状态时,将使电铃发出报警响声。试设计此电路,列出真值表,写出函数式,画出最简的实验电路。(用最少的与非门实现)。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(选做) (注:取A、B、C三个键钮状态为输入变量,开锁信号和报警信号为输出变量,分别用F1用F2表示。设键钮按下时为“1”,不按时为“0”;报警时为“1”,不报警时为“0”,A、B、C都不按时,应不开锁也不报警。) 三、予习要求: 1.复习组合电路的分析方法和设计方法。 2.预习利用QuartusⅡ和可编程器件(PLD)进行数字电路设计的基本设计方法。 3.画出实验用电路图和记录表格,填好理论值,注明管脚号码。 四、报告要求: 1.实验目的和要求 2.实验主要仪器和设备 3.实验原理 4.实验方案设计、实验方法 5.实验步骤

《数字逻辑》课程教学大纲

《数字逻辑》课程教学大纲 Digital Logic 课程编号:130301047 学时:48学分:3 适用对象:软件工程、软件工程卓越班、计算机科学与技术、网络工程、物联网工程、医学信息工程、数字媒体技术(理科) 先修课程:计算机基础;离散数学;大学物理;电路原理;模拟电子线路 一、课程的性质和任务 《数字逻辑》把数字电路和逻辑设计有机地联系起来,作为基础,较为深入地阐述了基本数字集成电路的工作原理和电气特性,着重讨论了逻辑电路的基本单元(门电路和触发器),也讨论了中、大规模集成电路及其应用,介绍了一些近年迅速发展起来的器件和电路,同时讨论了作为数字电路与逻辑设计数学基础的逻辑代数及其化简方法。作为重点,系统地讨论了组合逻辑电路、同步时序逻辑电路、异步时序逻辑电路的分析和设计方法;并介绍了采用大规模可编程逻辑器件的数字系统设计的新方法。通过本课程的学习,为学习后继课程打下良好基础,也为学生毕业后从事电子学、通信技术、自动控制、计算机应用等方面的科学研究和技术工作打下良好的基础。 二、教学目的与要求 《数字逻辑》是计算机科学与技术专业的一门专业技术基础课,是实践性很强的课程。通过本课程的教学,让学生了解数字逻辑电路的基本内容,掌握最基本的数字逻辑分析和设计方法。使学生获得电子技术方面的基本理论、基本知识和基本技能,培养学生分析问题和解决问题的能力,为以后进一步深入学习计算机组成原理、可编程逻辑等打好基础。要求学生熟悉数制、码制和逻辑代数,能以逻辑代数为工具,掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元分析和设计。 三、教学内容 第一章:数字逻辑基础 基本内容: 1.1概述 1.1.1模拟量与数字量 1.1.2数字电路的分类 1.1.3数字电路的特点 1.1.4脉冲与脉冲参数 1.2数制与码制 1.2.1数制 1.2.2数的表示方法 1.2.3数制间的转换 1.2.4常用编码 1.3逻辑代数基础 1.3.1逻辑代数中的三种基本运算 1.3.2逻辑函数及其表示方法 1.3.3逻辑代数基本定律及常用公式 1.3.4逻辑函数的公式法化简 1.3.5逻辑函数的卡诺图化简 1.3.6具有无关项的逻辑函数及其化简 教学基本要求:

数电课程设计心得(精选多篇)

数电课程设计心得(精选多篇) 第一篇:数电课程设计心得 1、通过这次课程设计,加强了我们动手、思考和解决问题的能力。在整个设计过程中,我们通过这个方案包括设计了一套电路原理和pcb 连接图,和芯片上的选择。这个方案总共使用了74ls248 ,cd4510 各两个,74ls04 ,74ls08 ,74ls20 ,74ls74 ,ne555 定时器各一个。 2、在设计过程中,经常会遇到这样那样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了,因此耗费在这上面的时间用去很多。 3、我沉得做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。比如一些芯片的功能,平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件映象深刻。认识于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。所以这个期末测试之后的课程设计对我们的作用是非常大的。 4、经过两个星期的实习,过程曲折可谓一语难尽。在此期间我们也失落过,也曾一度热情高涨。从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我回味无长。生活就是这样,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话题。 通过实习,我才真正领略到“艰苦奋斗”这一词的真正含义,我才意识到老一辈电子设计为我们的社会付出。我想说,设计确实有些辛苦,但苦中也有乐,在如今单一的理论学习中,很少有机会能有实践的机会,但我们可以,而且设计也是一个团队的任务,一起的工作可以让我们有说有笑,相互帮助,配合默契,多少人间欢乐在这里洒下,大学里一年的相处还赶不上这十来天的合作,我感觉我和同学们之间的距离更加近了;我想说,确实很累,但当我们看到自己所做的成果时,心中也不免产生兴奋;正所谓“三百六十行,行行出状元”。 我们同样可以为社会作出我们应该做的一切,这有什么不好?我们不断的反问自己。也许有人不喜欢这类的工作,也许有人认为设计的工作有些枯燥,但我们认为无论干什么,只要人生活的有意义就可。 社会需要我们,我们也可以为社会而工作。既然如此,那还有什么必要失落呢?于是我们决定沿着自己的路,执着的走下去。同时我认为我们的工作是一个团队的工作,团队需要个人,个人也离不开团队,必须发扬团结协作的精神。某个人的离群都可能导致导致整项工作的失败。实习中只有一个人知道原理是远远不够的,必须让每个人都知道,否则一个人的错误,就有可能导致整个工作失败。团结协作是我们实习成功的一项非常重要的保证。 而这次实习也正好锻炼我们这一点,这也是非常宝贵的。对我们而言,知识上的收获重要,精神上的丰收更加可喜。挫折是一份财富,经历是一份拥有。这次实习必将成为我人生

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

数字逻辑系统教案

第3章V H D L基础 一、VHDL的优点 1、用于设计复杂的、多层次的设计。支持设计库和设计的重复使用 2、与硬件独立,一个设计可用于不同的硬件结构,而且设计时不必了解过多的硬件细节。 3、有丰富的软件支持VHDL的综合和仿真,从而能在设计阶段就能发现设计中的Bug,缩短设计时间,降低成本。 4、更方便地向ASIC过渡 5、VHDL有良好的可读性,容易理解。 二、VHDL与计算机语言的区别 1、运行的基础 计算机语言是在CPU+RAM构建的平台上运行 VHDL设计的结果是由具体的逻辑、触发器组成的数字电路 2、执行方式 计算机语言基本上以串行的方式执行 VHDL在总体上是以并行方式工作 3、验证方式 计算机语言主要关注于变量值的变化 VHDL要实现严格的时序逻辑关系 3.1 VHDL 基本语法 Library IEEE; --使用IEEE库 use IEEE.std_logic_1164.all; --本设计实体开放 IEEE --中 std_logic_1164程序包的所有项目entity eqcomp4 is --实体 port(a, b:in std_logic_vector(3 downto 0);--端口定义 equal :out std_logic); end eqcomp4; --实体结束architecture dataflow of eqcomp4 is --结构体

begin --关键字begin equal <= ‘1’ when a=b else ‘0’; --功能描述语句 End dataflow; --结构体结束 3.1.1 组合电路描述 在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而与先前状态无关的逻辑电路称为组合逻辑电路。 组合逻辑电路的特点: (1)输出与输入之间没有反馈延迟通路; (2)电路中不含记忆元件。 一、二选一多路选择器 [提问] 二选一多路选择器输入输出关系? [提问] 二选一多路选择器在传统数字电路中的实现方法? 1、根据真值表化简后采用基本门电路数字芯片来实现 2、采用专门多路选择器数字芯片来实现 [分析程序]二选一多路选择器的VHDL程序(例3-1)、(例3-2)、(例3-3),并比较实现同一个功能的三个VHDL程序相同点和不同点,最后用QUARTUSII进行功能时序波形仿真来验证程序实现的功能是否符合二选一多路选择器的功能 3.1.2 VHDL结构 一个VHDL程序具有五个基本元素: –Entity(实体):实体用于描述设计系统的外部接口信号。 –Architecture(结构体):结构体用于描述系统的行为、系统数据的流程或者系统组织结构形式。 –Configuration(配置):配置用于从库中选取所需单元来组成系统设计的不同规格的不同版本,使被设计系统的功能发生变化。 –Package(包集合):包集合存放各设计模块能共享的数据类型、常数、子程序等。 –Library(库):库用于存放已编译的实体、构造体、包集合、配置。

相关主题
文本预览
相关文档 最新文档