当前位置:文档之家› 三层电梯控制电路(word文档良心出品)

三层电梯控制电路(word文档良心出品)

三层电梯控制电路(word文档良心出品)
三层电梯控制电路(word文档良心出品)

三层电梯控制电路设计

.设计要求

每层电梯入口处设有上下请求开关, 电梯内设有顾客到达层次的停站请

设有电梯入口处位置指示装置及电梯运行模式 (上升或下降)指示装置。 电梯

每秒升(降)一层楼。

电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开 门4秒

后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请 求信号后停留

在当前层。

5. 能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请 求信号保

留至执行后消除。

6. 电梯运行规则一当电梯处于上升模式时, 楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕; 下楼请求,则相反。

7. 电梯初始状态为一层开门状态。 二. 设计目的

电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用 来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性 电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯 控制

器实现的基础。

三. 控制器的设计方案.

控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状 态显示

器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层, 通过主控 制器的处理,电梯开始运行,状态显示器显示电梯的运行状态, 电梯所在楼层数 通过译码器译码从而在楼层显示器中显示。 分控制器把有效的请求传给主控制器 进行处理,同时显示电梯的运行状态和电梯所在楼层数。 由于分控制器相对简单 很多,所以主控制器是核心部分。 1.

求开

关。 2. 3. 4. 只响应比电梯所在位置高的上

如果咼层有 VHDL 语言

,通过对三层 图1.电梯控制器原理图

四. 三层电梯控制器的结构体设计

首先说明一下状态。状态机设置了 lO 个状态,分别是电梯停留在 l 层

(stoponI)、开门(dooropen)、关门(doorclose)、开门等待第 1 秒(doorwaitl)、开门等 待第2秒(doorwait2)、开门等待第3秒(doorwait3)、开门等待第4秒(doorwait4)、 上升(up)、下降(down)和停止(stop)。在实体说明定义完端口之后,在结构体 architecture 和begin 之间需要有如下的定义语句,来定义状态机。

在结构体中, 设计了俩个进程互相配合, 一个是状态机进程作为主要进程, 另外

一个是信号灯控制进程作为辅助进程。 状态机进程中的很多判断条件是以信 号灯进程产生的信号灯信号为依据的, 而信号灯进程中信号灯的熄灭又是由状态 机进程中传出的clearup 和cleardn 信号来控制。

在状态机进程中, 在电梯的上升状态中, 通过对信号灯的判断, 决定下一个 状态是继续上升还是停止; 在电梯下降状态中, 也是通过对信号灯的判断, 决定 下一个状态是继续下降还是停止; 在电梯停止状态中, 判断是最复杂的, 通过对 信号的判断,决定电梯是上升、下降还是停止。

本设计需要完成的任务是编写 VHDL 弋码来模拟现实中的三层电梯工作。在 点阵上显示电梯所在的楼层, 当其它楼层有上或下的请求信号时, 表示该楼层上 或下的绿色或黄色指示灯亮, 电梯开始上或下运行, 当到达该楼层时, 表示该楼 层上或下的绿色或黄色指示灯灭, 表示到达该楼层的红色指示灯亮, 层数,红色指示灯灭 。 五 . vhdl 源程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

architecture behave of elevator is signal state1,state3 : std_logic; 点阵显示楼

entity elevator is

port( clk

Signal k1,k2u,k2d,k3

button

d1,d2u,d2d,d3 every

floor

door1,door2,door3 led

r0,r1,r2,r3,r4,r5,r6,r

7 segment driver

sa,sb,sc

--Display Select end

elevator; : in std_logic; --Clock : in std_logic; : out std_logic; : buffer std_logic; out --Push --Led of --door std_logic; out --7 std_logic);

state2u,state2d : std_logic; doorflag : std_logic; udflag,runflag : std_logic; --up and down flag,run flag

: std_logic_vector(2 downto 0); --display end if;

if(k3='0' and door3='0') then state3<='1'; d3<='1';

signal signal signal signal

counter dcount signal display signal location

signal wcount

counter

signal counter : std_logic_vector(7 downto 0);

: std_logic_vector(1 downto 0);

: std_logic_vector(10 downto 0); --wait doorcount : std_logic_vector(9 downto 0); --door

signal col1,col2,col3,col4,col5,col6 : std_logic_vector(7 downto 0);

begin

process(clk) -- judge the key is or is not been pushed begin

if(clk'event and clk='1') then if(k1='0' and door1='0') then

state1<='1'; d1<='1';

elsif(location=0 and wcount=0) then d1<='0';

if(doorcount=1020) then state1<='0';

end if;

end if; if(k2u='0' and door2='0') then state2u<='1'; d2u<='1';

elsif(location=1 and udflag='1' and wcount=0) then d2u<='0';

if(doorcount=1020) then state2u<='0';

end if;

end if; if(k2d='0' and door2='0') then state2d<='1'; d2d<='1';

elsif(location=1 and udflag='0' and wcount=0) then d2d<='0';

if(doorcount=1020) then state2d<='0';

end if;

elsif(location=2 and wcount=0) then d3<='0';

if(doorcount=1020) then state3<='0';

end if;

end if;

end if;

end process;

process(clk)

begin

if(clk'event and clk='1') then if(location=0) then --display 1 col1<="00000001";

col2<="00100001"; col3<="01111111"; col4<="11111111"; col5<="00000001";

col6<="00000001";

elsif(location=1) then --display 2 col1<="01100011"; col2<="11100111";

col3<="10001101"; col4<="10011001"; col5<="11110011"; col6<="01100111";

elsif(location=2) then --display 3 col1<="01000010"; col2<="11011011";

col3<="10011001"; col4<="10011001"; col5<="11111111"; col6<="01100110";

end if;

end if;

end process;

process(clk) --accumulate dcount begin

if(clk'event and clk='1') then dcount<=dcount+1;

end if; end p rocess;

p rocess(clk)

begin

if(clk'eve nt and clk='1') the n

sa<=dco un t(0);

sb<=dco un t(1);

sc<=dco un t(2);

case dco unt is

whe n "111"=>dis pl ay<="00000000";

whe n others=>dis pl ayv="OOOOOOOO"; end case;

end if;

end p rocess;

p rocess(clk) --I n

begin

if(clk'eve nt and clk='1') the n

rO<=dis play(7);

r7<=dis play (O );

end if;

end p rocess; end behave; 注释:

1. 本程序设计调用了 IEEE 库,IEEE 库是VHDL 设计中最为常用的库,它 包含有IEEE

标准的程序包和其他一些支持工业标准的程序包。本设计采用了 STD_LOGIC_1164、

STD_LOGIC_ARITH 、STD_LOGIC_UNSIGNED 程序包。

d 状态机进程(主聲进程「I

结构体

信号灯控剧进

程(辅助进程)

图2.三层电梯控制器的 vhdi 描述

2. 以关键词

ENTITY 引导,END ENTITY threeflift 结尾的语句部分,称为实 体。VHDL 的实体描述了电路this p rocess, a,b,c,d,e,f,g and dot will out put

定文尤件库

定义thrcenift 实体

:> 结束

器件的外部情况及各信号端口的基本性质。本设计定义了关于三层电梯控制器用到的各类时钟、异步复位按键、信号灯指示、电梯的请求。端口模式主要就是IN、BUFFER、OUT端口。及定义了各端口信号的数据类型,主要是

STD_LOGIC(标准逻辑位数据类型)、INTEGER(整数类型)、STD_LOGIC_VECTOR(标准逻辑矢量数据类型)。这些都满足上面调用的IEEE 库中的程序包。

3.以关键词ARCHITECTURE弓|导,END ARCHITECTUREa结尾的语句部分,称为结构体。结构体负责描述电路器件的内部逻辑功能或电路结构。本设计定义了10个状态。描述了在三层电梯中出现的各种可能的情况作为控制电梯的主要进程。信号灯控制作为辅助进程。

三层电梯控制器模块原理图

图3.三层电梯控制器模块原理图

七.三层电梯控制器的仿真模块

1.下图所示仿真的是在第二层电梯外部有上升请求,也就是f2upbuttton信

号的一个脉冲,可以看到电梯从一层上升到二层,Position信号由1变到2, doorlight信号‘ 1'表示开门,‘ 0'表示关门。当乘客进入电梯以后,在电梯内部要求上升到第三层,也就是stop3button产生一个脉冲,电梯上升到第3层,

开门4秒以后关门,停留在第三层,position最后的值为3。在仿真图中看不到butt on clk,只显示为一条黑色的线,是因为采用了频率较大的时钟。

再看fuplight信号灯,当二层有上升请求的时候,它的值由0变到2。(注意fuplight和fdnlight是3位的二进制向量,这里的2代表“010”,表示二层有请求;

“ 100”也就是4,表示三层有请求)。当电梯停留到第二层以后,表明该请求被响应,所以它的值变为0,由于没有下降请求信号,所以fdnlight信号灯的值一盲都为O。

-■*

P MH 評

* ner*ihi

a* MM>4h

图4.有上升请求的仿真波形.

2.是有下降请求的情况,它是图 4的继续,当电梯停留在第三层的时候, 在电梯外第二层有下降请求,这时候

fdnlight 信号灯由0变为2,说明第二层有

下降请求。电梯下降到第二层,响应了下降请求,所以 fdnlight 信号灯清0。这 时候,在电梯内部没有停留在哪层的

请求,所以电梯就停留在第二层,

position

信号的值保持在 3. 同时有上升和下降请 -------------

( T [J ' bUtlVKl

[01 甘 cJk

■-咖Zi 叭

|巾 3

U

t

ti

] c

H J

图5.有下降请求的仿真波形

糾)

H :

in

5

0 3

V —

鼻Wnfim V-?:4eM]Lrt

■" CrtbtdHm jatit JQ 曲 TO di WO IwinnnfmfmjmjuuinnnnjumfvumnrvvmjuuuuiRnnjiEwmi U Ijrn

10 E0C1 UDt - k -- -- 0

H O I 2

2。 ?Oi 600* g n 角 5 ■'曲

i □mouuuvTLiuE 迎叽血阳」I

t U)

■-皿

■-.:沖幻严" ?- nfxJifg I*- k^&tWinai

a

PLC课程设计课题——三层电梯模型PLC控制系统设计与调试

仅供个人参考 一、控制要求: 1.系统应具备:有司机、无司机、消防三种工作模式。 2.系统应具备下列几项控制功能: 1)自动响应层楼召唤信号(含上召唤和下召唤)。 2)自动响应轿厢服务指令信号。 3)自动完成轿厢层楼位置显示(二进制方式)。 4)自动显示电梯运行方向。 5)具有电梯直达功能和反向最远停站功能。 3.系统提供的输入控制信号: AYS 向上行驶按钮 AYX 向下行驶按钮 YSJ 有/无司机选择开关 1YC 一楼行程开关 2YC 二楼行程开关 3YC 三楼行程开关 A1J 一楼指令按钮 A2J 二楼指令按钮 A3J 三楼指令按钮 AJ 指令专用开关(直驶) ZXF 置消防开关 A1S 一楼上召唤按钮 A2S 二楼上召唤按钮 A2X 二楼下召唤按钮 A3S 三楼上召唤按钮 A3X 三楼下召唤按钮 4.系统需要输出的开关控制信号: KM 开门显示 GM 关门显示 MGB 门关闭显示 DCS 上行显示 DCX 下行显示 S 上行继电器(控制电动机正转) X 下行继电器(控制电动机反转) YX 运行显示 A LED七段显示器a段发光二极管 B LED 七段显示器 b 段发光二极管 C LE D 七段显示器 c 段发光二极管 D LED 七段显示器 d 段发光二极管 E LED 七段显示器 e 段发光二极管 F LED 七段显示器 f 段发光二极管 G LED七段显示器g段发光二极管 1DJA 一楼指令信号登记显示 2DJA 二楼指令信号登记显示 3DJA 三楼指令信号登记显示 1DAS 一楼上召唤信号登记显示 仅供个人参考 2DAS 二楼上召唤信号登记显示

2DAX 二楼下召唤信号登记显示 3DAS 三楼上召唤信号登记显示 3DAX 三楼下召唤信号登记显示 二、课题要求: 1.按题意要求,画出PLC 端子接线图及控制梯形图。 2.完成PLC 端子接线工作, 并利用编程器输入梯形图控制程序,完成调试。 3. 完成课程设计说明书 三、答辩问题: 1.阐明程序设计思想及工作流程。 2.当层楼数增加,开关量输入和输出的点数将作如何变化? 3.若需要电梯只服务于奇数楼层,梯形图将作如何变换? 4.若需要电梯只服务于偶数层楼,梯形图将作如何变换? 5.若正常运行方式作为方式A ,上述3、4 题运行方式作为方式B 、方式C 、方式 D , 如何采用两个输入开关来任选其中一个作为当前运行方式? 6.电梯控制中清除召唤登记的条件是什么? 7.电梯控制中清除指令登记的条件是什么? 线路部分

电梯控制电路设计

- 电子技术课程设计 成绩评定表 设计课题:电梯控制电路设计 学院名称: 专业班级: 学生: 学号: 指导教师: 设计地点:31-225 设计时间:2014-7-7~2014-7-14

电子技术 课程设计 课程设计名称:电梯控制电路设计 专业班级: 学生姓名: 学号: 指导教师: 课程设计地点: 课程设计时间:2014-7-7~2014-7-14 电子技术课程设计任务书

目录

一.课程设计目的 (5) 二.课程设计的容及要求 (5) 2.1课程设计容 (5) 2.2课程设计要求 (5) 三.正文部分 (7) 3.1按键控制模块................................................................................................... (7) 3.1.1目标楼层号按钮编码电路................................................. (7) 3.1.2比较制动电 路........................................................................................... (10) 3.1.3与逻辑起动控 制........................................................................................... .. .11 3.2数码管显示模块 (12) 3.2.1显示译码器组成电路如下 (12) 3.2.2 CD4510(可逆计数器)组成电路 (16) 3.3脉冲发生器模块 (17) 3.3.1、0.2S脉冲发生器 (17) 3.3.2、6S脉冲发生器 (18) 3.4、LED滚动显示模块 (19)

基于plc的三层电梯控制系统设计

摘要 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用PLC组成的控制系统可以很好地解决上述问题。本论文通过讨论电梯控制系统的组成,阐述可编程控制器(用三菱PLC编程的程序控制方式,提出了三层电梯的程、组成,列出了具体的主要硬件电路、电梯的控制梯形图及指令表。并给出了系统组成框图和程序流程图,在分析、处理随机信号逻辑关系的基础上,提出了计了一套完整的电梯控制系统方案。触点多,故障率高、可靠性差、安装调试周期长、维修工作量大、接线复杂等缺点。使电梯运行更加安全、方便、舒适。在PLC课程设计中,我组设计了一个三层电梯控制系统,并且将西门子公司S7-200系列可编程控制器与其结合并应用起来,在学完《电气控制与PLC应用》课程后,我们在设计过程中较为得心应手,不至于从头开始。整个过程包括了方案讨论,程序设计,程序修改,上机调试等,在程序设计方面花了比较多的时间,主要考虑到电梯分别停在一层、二层和三层时在其他楼层呼叫等各种情况。每当遇到困难时,我组都积极与老师联系讨论,深入分析研究问题,在整个过程中,我与我的组员都相互配合,相互学习。 关键字:PLC;电梯;升降;梯形图;系统组成框图

In this paper The elevator is an indispensable means of transport for the high-rise building, used for vertical transporting passengers and cargo, the traditional elevator control system mainly adopts relay - contactor to control, its shortcomings is the number of contact, such as high failure rate and poor reliability, maintenance workload is big, and composed of PLC control system is a good way to solve the above problems. Through discussing the composition of the elevator control system, this paper expounds the programmable controller (with mitsubishi PLC programming way of process control, puts forward the three layers of elevator ride, and lists the specific of the main hardware circuit, elevator control ladder diagram and instruction list. And the system composition block diagram and program flow chart is given, based on the analysis, processing, on the basis of random signal logic relation, put forward the plan for a complete set of the elevator control system scheme. Contact, high failure rate, poor reliability, installation and debugging cycle is long, maintenance workload, such as complex wiring faults. Make the elevator running more safe, convenient and comfortable. In the PLC course design, I have come up with a three layers of elevator group control system, and the Siemens S7-200 series programmable controller and its application and combining, after completing the curriculum, electrical control and PLC application we in the design process is relatively with ease, not from the beginning. The whole process including the solution discussion, program design, program changes, computer debugging, etc., spent more time on program design, main consideration to the elevator stop on the first floor, respectively the second and third floors in other situations such as floor call. Whenever encounter difficulties, I actively

PLC电梯控制课程设计报告

课程设计报告 题目:电梯控制课程设计 院 (系): 电气信息工程学院 专业:电气工程及其自动化 姓名: 学号: 年级: 11级电气一班

电梯控制课程设计 一.设计题目:电梯控制课程设计。 二.控制要求: 电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿厢内设有楼层内选按钮S1-S4,用以选择需要停靠的楼层。L1为一层指示,L2为二层指示,L3为三层指示,L4为四层指示,SQ1-SQ4为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在一层,在三层轿厢外呼叫时,必须按三层上升呼叫按钮,电梯才响应呼叫(从一层运行到三层),按三层下降呼叫按钮无效;反之,若电梯停在四层,在三层轿厢外呼叫时,必须按三层下降呼叫按钮,电梯才响应呼叫(从四层运行到三层),按三层上升呼叫按钮无效,以此内推。 三.PLC的选型: 本次课程设计选用的PLC的型号为FX1N-40MR, FX1N系列是一种卡片大小的PLC,适合在小型环境中进行控制。它具有卓越的性能、串行通讯功能以及紧凑的尺寸,这使得它们能用在以前常规PLC无法安装的地方。输入点:24 输入点:16 继电器输出。它具有如下特点: 1.系统配置即固定又灵活; 2.编程简单; 3.备有可自由选择,丰富的品种; 4.令人放心的高性能; 5.高速运算; 6.使用于多种特殊用途; 7.外部机器通讯简单化; 8.共同的外部设备。 产品功能如下: 1、内置式24V直流电源:24V、400mA直流电源可用于外围设备,如传感器或其它元件。 2、时钟功能和小时表功能:在所有的FX1N PLC中都有实时时钟标准。时间设置和比较指令易于操作。小时表功能对过程跟踪和机器维护提供了有价值的信息。 3、持续扫描功能:为应用所需求的持续扫描时间定义操作周期。 4、输入滤波器调节功能:可以用输入滤波器平整输入信号(在基本单元中x000到x017)。 5、元件注解记录功能:元件注解可以记录在程序寄存器中 6、在线程序编辑:在线改变程序不会损失工作时间或停止生产运转 7、在线程序编辑:在线改变程序不会损失工作时间或停止生产运转 8、远程维护:远处的编程软件可以通过调制解调器通信来监测、上载或卸载程序和数据 9、密码保护:使用一个八位数字密码保护您的程序。

PLC课程设计(三层电梯控制系统)

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分

别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN 决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP 灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,

单片机课程设计之电梯控制系统

微控综合系统课程设计报告 专 班 姓 学 2016 年 12 月 23 日

摘要 本文介绍了一种采用STC15F2K60S2芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现电子设计的方法,利用单片机编程实现功能,简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。硬件部分主要由单片机的最小模块、电梯内外按钮控制模块、数码管显示楼层模块、发光二极管显示目的楼层模块、报警显示模块组成。软件部分使用kiel软件进行C语言程序编写,用proteus软件进行仿真调试。本设计具有电梯控制系统所需的一些基本功能,能通过方向按键选择方向,能通过数字按键选择楼层,数码管显示实时楼层数,电动机控制部分采用直流电机及H桥驱动电路,使电梯箱能上下运动。硬件设计简单可靠,结合软件,基本实现了五层电梯运行的模拟仿真。 关键词:STC15F2K60S2芯片;电梯控制系统;C语言 Abstract This paper describes the use of a STC15F2K60S2 chip for elevator control system design methods, mainly elaborates how to use microcontroller programming method to realize electronic design, realize the function of the use of single-chip programming, concise and changing the design method shortens the development cycle, at the same time the elevator control system smaller and more powerful. The hardware part is composed of the smallest module of the microcontroller, the internal and external button control module, the digital control display module, the light emitting diode display module and the alarm module. The software part uses the Kiel software to carry on the C language programming, uses the Proteus Software to carry on the simulation debugging. Some of the basic functions of the design of the elevator control system required, canchoose direction key direction through the number keys to select the floor, real-time digital display of the number of floors, the motor control part adopts DC motor and H bridge driving circuit, the elevator box can move up and down. The hardware design is simple and reliable, combined with the software, the basic realization of the five elevator operation simulation. Key words: STC15F2K60S2 chip; elevator control system; C language. 1.引言 随着现代高科技的发展,住房和办公用楼都已经逐渐向高层发展。电梯是高层宾馆、商店、住宅、多层仓库等高层建筑不可缺少的垂直方向的交通运输工具。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。由于传统的电梯运行逻辑控制系统采用的是继电器逻辑控制线路。采用这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术发展来看,这种系统将逐渐被

plc三层电梯控制设计

1. 三层电梯PLC控制系统设计 1.1实训目的 本次设计是一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。 1.2 实训容和控制要求 工作过程:电梯由安装在各楼层厅门口的呼叫按钮进行操纵,其操纵容为呼叫电梯、运行方向和停靠楼层。每层楼设有呼叫按钮(一层U1,二层U2,D2,三层D3),指示灯L1指示电梯在一层与二层之间运行、L2指示在二层与三层之间运行、L3指示在三层与二层之间运行、SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。输出端用输出指示灯的状态来模拟输出设备的状态。 三层楼电梯的自动控制要求如下: (1)当电梯停于1F或2F时,如果按3F按钮呼叫,则电梯上升到3F,由行程开关SQ3停止; (2)当电梯停于3F或2F时,如果按1F按钮呼叫,则电梯下降到1F,由行程开关LS1停止; (3)当电梯停于1F,如果按2F按钮呼叫,则电梯上升到2F,由行程开关

LS2停止; (4)当电梯停于3F,如果按2F按钮呼叫,则电梯下降到2F,由行程开关LS2停止; (5)当电梯停于2F,而2F、3F按钮均有人呼叫时,电梯先上升到2F,由LS2控制暂停2S后,继续上升到3F,由LS3停止; (6)当电梯停于3F,而1F、2F按钮均有人呼叫时,电梯下降到2F,由LS2控制暂停2S后,继续下降到1F,由LS1停止; (7)在电梯上升途中,任何反方向的下降按钮呼叫均无效; (8)在电梯下降途中,任何反方向的上升按钮呼叫均无效; (9)每层楼之间的到达时间应在10s完成,否则电梯停机; (10)电梯的起始位置和程序的启动、停止运行自行设计。 1.3电梯的结构 1-减速箱2-曳引轮 3-曳引机底座4-导向轮 5-限速器6-机座 7-导轨支架8-曳引钢绳 9-开关碰铁10-紧急开关 11-导靴12-轿架 13-轿门14-安全钳 15-导轨16-绳头组合 17-对重18-补偿链

数字电子技术课程设计报告-电梯控制

! 题目名称:电梯控制 院系:电气工程学院 专业班级:电子工艺与管理 学生姓名:徐小可 学号: 41 指导教师:孙艳 完成时间:2012年11月30 目录 ` 摘要 0 一,设计目的及意义 (1) 目的: (1) 意义: (1) 二,整体设计 (2)

2.1 设计目标 (2) 设计要求 (2) 2.3 整体设计流程图 (3) : 三,电梯控制系统的实现 (3) 3.1 实现功能 (3) 3.2 实现电路 (4) 楼层的输入电路 (4) 555定时电路 (4) (5) (6) 3.3主要元器件介绍 (6) 。 译码器 (6) (7) D触发器 (8)

四,实验总结 (9) 4. 1 设计中主要出现的问题 (9) 4.2 尚待解决的问题 (9) 4. 3 心得体会 (10)

摘要 随着我国经济的高速发展,微电子技术、计算机技术数电技术也得到了迅速发展。电梯是随着高层建筑的兴建而发展起来的一种垂直运输工具。多层厂房和多层仓库需要有货梯;高层住宅需要有住宅梯;百货大楼和宾馆需要有客梯,自动扶梯等。在现代社会,电梯已像汽车、轮船一样,成为人类不可缺少的交通运输工具。据统计,美国每天乘电梯的人次多于乘载其它交通工具的人数。当今世界,电梯的使用量已成为衡量现代化程度的标志之一. 设计利用D触发器74LS74作为控制电梯按钮(即触发电平),经过编码器74LS148及比较器74LS85、计数器74LS192来实现其电梯控制功能。电路使用555的定时功能来控制上一层楼或下一层楼所用的时间,利用数码管来显示所到楼层数,并用四个发光二极管来表示四层楼。以此来设计了一个四层电梯控制系统。 关键字:D触发器74LS74;编码器74LS148;比较器74LS85;计数器74LS192;555定时器;数码管; 一,设计目的及意义 本设计是利用已学过的数电知识,设计的四层电梯控制系统。 目的: (1)重温自己已学过的数电知识;

三层电梯控制电路(word文档良心出品)

三层电梯控制电路设计 .设计要求 每层电梯入口处设有上下请求开关, 电梯内设有顾客到达层次的停站请 设有电梯入口处位置指示装置及电梯运行模式 (上升或下降)指示装置。 电梯 每秒升(降)一层楼。 电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开 门4秒 后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请 求信号后停留 在当前层。 5. 能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请 求信号保 留至执行后消除。 6. 电梯运行规则一当电梯处于上升模式时, 楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕; 下楼请求,则相反。 7. 电梯初始状态为一层开门状态。 二. 设计目的 电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用 来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性 电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯 控制 器实现的基础。 三. 控制器的设计方案. 控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状 态显示 器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层, 通过主控 制器的处理,电梯开始运行,状态显示器显示电梯的运行状态, 电梯所在楼层数 通过译码器译码从而在楼层显示器中显示。 分控制器把有效的请求传给主控制器 进行处理,同时显示电梯的运行状态和电梯所在楼层数。 由于分控制器相对简单 很多,所以主控制器是核心部分。 1. 求开 关。 2. 3. 4. 只响应比电梯所在位置高的上 如果咼层有 VHDL 语言 ,通过对三层 图1.电梯控制器原理图

电梯控制电路资料

主回路 1、主回路原理图 2、原理说明 (1)电梯开始向上启动运行时,快车接触器K吸合,向上方向接触器S吸合。因为刚启动时接触器1A还未吸合,所以380V通过电阻电抗RQA、XQ接通电动机快车绕阻,使电动机降压起动运行。 (2)约经过2秒左右延时,接触器1A吸合,短接电阻电抗,使电动机电压上升到380V。电梯再经过一个加速最后达到稳速快车运行状态。(3)电梯运行到减速点时,上方向接触器S仍保持吸合,而快车K释放,1A释放,慢车M吸合。因为此时电动机仍保持高速运转状态,电机进入发电制动状态。如果慢车绕阻直接以380V接入,则制动力矩太强,而使电梯速度急速下降,舒适感极差。所以必需要分级减速。最先让电源串联电阻电抗,减小慢车线圈对快速运行电动机的制动力。经过一定时间,接触器2A吸,短接一部分电阻,使制动力距增加一些。然后再 3A、4A也分级吸合,使电梯速度逐级过渡到稳速慢车运行状态。 (4)电梯进入平层点,S、M、2A、3A、4A同时释放,电动机失电,制动器抱闸,使电梯停止运行。 (相关资料:电动机特性曲线变化) 3、动画演示

安全回路 1、原理图 2、原理说明 由整流器出来的110V直流电源,正极接通过熔断丝1RD接到02号线,负极通过熔断丝2RD接到01号线。 把电梯中所有安全部件的开关串联一起,控制电源继电器JY,只要安全部件中有任何一只起保护,将切断JY继电器线圈电源,使JY释放。 02号线通过JY继电器的常开点接到04号线,这样,当电梯正常有电时,04号与01号之间应用110V直流电,否则切断04号线,使后面所有通过04号控制的继电器失电。 串联一个电阻RY是起到一个欠电压保护。大家知道,当继电器线圈得到110V电吸合后,如果110V电源降低到一定范围,继电器线圈仍能维持吸合。这里,当电梯初始得电时,通过JY常闭触点(15、16)使JY继电器有110V电压吸合,JY一旦吸合,其常闭触点(15、16)立即数开,让电阻RY串入JY线圈回路,使JY在一个维持电压下吸合。 这样当外部电源出现电压不稳定时,如果01、02两端电压降低,JY继电器就先于其它继电器率先断开,起一个欠电压保护作用。 楼层控制回路 1、原理图

三层电梯控制设计

综合实训设计报告信息工程与自动化学院自动化系 设计题目:基于PLC的三层电梯控制系统设计 姓名: 学号: 专业:测控121班 指导老师: 二0一五年七月

引言 随着城市建设的不断发展,城市迅速的崛起,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。它是采用电力拖动方式,将载有乘客或货物的轿厢,运行于垂直方向的两根刚性导轨之间,运送乘客和货物的固定式提升设备。所以,电梯是为高层建筑运输服务的设备,它具有运送速度快、安全可靠、操作简便的优点。但传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。 目前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式采用可编程控制器取代微机实现信号控制。从控制方式和性能上来说,这两种方法并没有太大的区别,但PLC 可靠性高,程序设计方便灵活。 1.电梯的PLC控制方式 PLC是一种用于工业自动给控制的专用计算机。实质上属于计算机控制方式。PLC与普通微机一样,能通用或专用CPU作为字处理器,实现通道(字)的运算和数据存储,另外还有位处理器(布尔处理器),进行点(位)运算与控制。PLC控制一般具有可靠性高,易操作、维修和编程简单、灵活性强等特点。 1.1 PLC控制系统的可靠性 对可维修的产品,可靠性包括产品的有效性和可维修性。PLC不需要大量的活动元件和接线电子元件,它的接线大大减少,与此同时,系统的维修简单,维修时间短。PLC采用了一系列可靠性设计的方法进行设计,例如,冗余设计,断电保护,故障诊断和信息保护及恢复等,提高了MTBF,降低了MTTR,使可靠性提高。PLC是为工业生产过程控制而专门设计的控制装置,它具有比通用计算机控制更简单的编程语言,而为工业恶劣操作环境设计的硬件使可靠性大大提高。在PLC的硬件方面,采用了一系列提高可靠性的措施。例如,采用可靠性的元件,采用先进的工艺制造流水线制造,对于干扰的屏蔽、隔离和滤波等,对电源的断

三层电梯PLC控制系统设计方案报告

三层电梯PLC控制系统设计方案报告

PLC课程设计报告题目:三层电梯PLC控制系统设计 院别: 姓名: 学号: 指导教师: 日期:

摘要 本设计主要利用欧姆龙系统完成。主要介绍了3层电梯的PLC的特点、PLC的功能、发展趋势、PLC控制电梯的软、硬件设计。在示意图、接线图、电梯的控制梯形图、指令表、和程序流程图的基础之上提出了PLC的编程方法。 可编程控制系统(Programmable Logic Controller)是一种专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,通过数字式或模拟式的输入输出来控制各种类型的机械设备或生产过程。由于它可通过软件来改变控制过程,而且具有体积小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业自动化控制控制的各个领域,大大推进了机电一体化的进程。 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。目前PLC在电梯行业已得到广泛应用。在层数和控制功能较少的场合,采用PLC控制较为方便。

目录 第一章三层楼电梯自动控制 (3) 一.电梯设计要求 (4) 二.电梯设计分析 (5) 1.分析被控对象 (5) 2.分配PLC的输入/输出端子 (5) 3.统计输入、输出点数并选择PLC型号 (7) 4.输入/输出端子接线图 (8) 5.运动形式分析 (8) 6.助记符 (15) 三.硬件配置设计 (19) 1. 电梯控制构成 (20) 2. 主电路 (21) 四.型号规格 (22)

PLC三层电梯课程设计报告书

中国石油大学胜利学院 电气控制及可编程控制技术 综合实验报告 必做题目:三层电梯自动控制 选做题目:四节传送带控制 学生:马金帅 学号: 201108011225 系别:机械与电气工程系 专业年级:2011级电气工程及其自动化本科2班 指导教师:王东起 2014年6月24日

一、设计任务与要求 1、要求:楼层呼叫按钮,一层一个:上。二层两个:上下。三层一个:下。桥箱呼叫按钮三个:一层,二层,三层。 到达指定楼层电梯停留10秒。 电梯在某一层停留时,有楼层显示。 20秒无人呼叫,电梯自动停留在1层。当电梯上升途中,任何反方向的的下降呼叫信号无效,当电梯下降途中,任何反方向的上升呼叫信号无效。 2、(二)四段传送带控制 (1)PLC上电后,按下启动按钮,四段传送带同时启动,四盏指示灯同时亮;(2)按下停止按钮,四段传送带同时停止,四盏指示灯同时灭 (3)按下四号传送带故障按钮,四号立即停止,四号指示灯立即灭,三号二号一号间隔五秒依次停;其 (4)按下三号故障按钮,四号三号立即停止,二号一号间隔五秒依次停止;(5)按下二号故障按钮,四号三号二号立即停止,一号五秒后停止; (6)当一号故障时,四号三号二号一号都立即停止。。 二、方案设计与论证 此次课程设计是电气控制与PLC原理及应用课程的实际应用,两个设计任务的具体要求中都运用了课程中所学的基本电路:起保停、自锁、互锁、单脉冲电路等,通过各个基本电路的连接,最终能够满足基本的要求,再通过对基本电路的拓展,就可以实现部分设计的附加功能。三层电梯自动控制设计共有14个输入信号,分别有楼层呼叫按钮,一层一个:上。二层两个:上下。三层一个:下。桥箱呼叫按钮三个:一层,二层,三层,等控制开关和按钮。16个输出信号,各层的指示灯和上下显示灯等。到达指定楼层电梯停留10秒。电梯在某一层停留时,有楼层显示。20秒无人呼叫,电梯自动停留在1层。当电梯上升途中,任何反方向的下降呼叫信号无效,当电梯下降途中,任何反方向的上升呼叫信号无效 三、设计方案 设计一:三层电梯自动控制I/O分配表

数电课程设计 电梯控制系统

湖南工程学院 课程设计 课程名称数字电子技术 课题名称简易电梯控制系统 专业自动化 班级1081 学号201013110111 姓名罗超超 指导教师龚志鹏 2012年11月23日湖南工程学院

课程设计任务书课程名称:数字电子技术 题目:简易电梯控制系统 专业班级:自动化1081 学生姓名:罗超超学号:11 指导老师:龚志鹏 审批: 任务书下达日期2012年11月19日星期一设计完成日期2012年11月23日星期五

设计内容与设计要求 一.设计内容: 1、显示方式:以LED数码管形式显示0-3; 2、主要功能:一共有四层楼,楼层标记用0-3表示,假 设每次只有有一层楼有呼叫,按下呼叫按钮后,呼叫楼层指示灯亮,电梯将从当前楼层运行到呼叫楼层,指示灯熄灭; 3、超重报警:挡电梯超重时,报警灯亮,电梯拒绝运行; 4、系统复位:系统能手动复位,复位后,电梯停在第○ 层; 5、其他功能(任选) 二、设计要求: 1、思路清晰,给出整体设计框图和总电路图; 2、单元电路设计,给出具体设计思路和电路; 3、写出设计报告;

主要设计条件 1.提供调试用实验室; 2.提供调试用实验箱和电路所需元件及芯片;3.所用设备及元件需在设计后归还。 说明书格式 1.课程设计封面; 2.任务书; 3.说明书目录; 4.设计总体思路,基本原理和框图(总电路图);5.单元电路设计(各单元电路图); 6.安装、调试步骤; 7.故障分析与电路改进; 8.总结与体会; 9.附录(元器件清单); 10.参考文献; 11.课程设计成绩评分表; 12.设计报告采用16k纸打印;

进度安排 第三周: 星期一:课题内容介绍和查找资料; 星期二~星期五:总体电路设计和分电路设计,电路仿真,修改方案; 第四周: 星期一~星期二:电路设计,电路仿真,修改方案 星期三: 安装、调试电路; 星期四: 验收电路,整理实验室及其它事情,写设计报告,打印相关图纸; 星期五:答辩; 参考文献 1、《电子系统设计》 高等教育出版社马建国主编 2、《电子线路设计、实验、测试》(第二版) 华中理工大学出版社谢自美主编 3、《新型集成电路的应用》---------电子技术基础课程设计 华中理工大学出版社梁宗善主编

电梯电气原理图

电梯电气原理图 一.概述 不同的电梯,不论采用何种控制方式,总是按轿厢内指令,层站召唤信号要求,向上或向下起动,起行,减速,制动,停站。 电梯的控制主要是指对电梯原动机及 开门机 的起动,减速,停止,运行方向,指层显示, 层站召唤, 轿车内指令, 安全保护等指令信号进行管理。 操纵是实行每个控制环节的方式和 手段。 二.常规 继电器 控制的典型控制环节 1. 自动开关门的控制线路 自动 门机 是安装于轿厢顶上, 它在带动轿门启闭时, 还需通过机械联动机构带动层门与轿门 同步启闭。 为使电梯门在启闭过程中达到快, 稳的要求, 必须对自动门机系统进行速度调节。 当用小型 直流伺服电机 时, 可用电阻串并联方法。 采用小型交流转矩电动机时, 常用加涡流 制动器 的调速方法。 直流电机 调速方法简单, 低速时发热较少, 交流门机在低速时电机发热厉害,对三相电机的堵转性能及绝缘要求均较高。

2. 轿内指令和层站召唤线路 轿内操纵箱上对应每 一层楼 设一个带灯的按钮, 也称指令按钮。 乘客入轿厢后按下要去的目 的层站按钮,按钮灯便亮,即轿内指令登记,运行到目的层站后,该指令被消除,按钮灯熄灭。 电梯的层站召唤信号是通过各个楼层门口旁的按钮来实现的。信号控制或集选控制的电梯,除顶层只有下呼按钮,底层只有上呼按钮外,其余每层都有上下召唤按钮。 3. 电梯的选层定向控制方法 常用的机种如下; 手柄开关定向 井道分层 转换开关 定向 井道永磁开关与继电器组成的 逻辑电路 定向 机械选层器定向 双稳态磁开关和电子 数字电路 定向 电子脉冲式选层装置定向 4. 电梯的定向,选层线路 电梯的方向控制就是根据电梯轿厢内乘客的目的层站指令和各层楼召唤信号与电梯所处层楼位置信号进行比较, 凡是在电梯位置信号上方的轿厢内指令和层站召唤信号, 令电梯定上 行,反之定下行。 方向控制环节必须注意以下几点: 轿内召唤指令优先于各层楼召唤指令而定向。 电梯要保持最远层楼乘客召唤信号的方向运行 在司机操纵时, 当电梯尚未启动运行的情况下, 应让司机有强行改变电梯运行方向的可能性

三层电梯PLC控制系统设计报告

PLC课程设计报告题目:三层电梯PLC控制系统设计 院别: 姓名: 学号: 指导教师: 日期:

本设计主要利用欧姆龙系统完成。主要介绍了3层电梯的PLC的特点、PLC的功能、发展趋势、PLC控制电梯的软、硬件设计。在示意图、接线图、电梯的控制梯形图、指令表、和程序流程图的基础之上提出了PLC的编程方法。 可编程控制系统(Programmable Logic Controller)是一种专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,通过数字式或模拟式的输入输出来控制各种类型的机械设备或生产过程。由于它可通过软件来改变控制过程,而且具有体积小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业自动化控制控制的各个领域,大大推进了机电一体化的进程。 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。目前PLC在电梯行业已得到广泛应用。在层数和控制功能较少的场合,采用PLC控制较为方便。

第一章三层楼电梯自动控制 (4) 一.电梯设计要求 (4) 二.电梯设计分析 (5) 1.分析被控对象 (5) 2.分配PLC的输入/输出端子 (5) 3.统计输入、输出点数并选择PLC型号 (5) 4.输入/输出端子接线图 (6) 5.运动形式分析 (6) 6.助记符 (11) 三.硬件配置设计 (15) 1. 电梯控制构成 (16) 2. 主电路 (16) 四.型号规格 (16)

PLC课程设计 三层电梯

课程设计报告 题目三层电梯控制 课程名称PLC工业控制及应用院部名称机电工程学院 专业电气工程及其自动化班级10电气1班 学生姓名管志成 学号1004103027 课程设计地点C314 课程设计学时20 指导教师 金陵科技学院教务处制

可编程控制器作为一种工业控制微型计算机,它以其编程方便、操作简单尤其是它的高可控性等优点,在工业生产过程中得到了广泛的应用。它应用大规模集成电路,微型机技术和通讯技术的发展成果,逐步形成了具有多种优点和微型,中型,大型,超大型等各种规格的系列产品,应用于从继电器控制系统到监控计算机之间的许多控制领域。PLC总的发展趋势是:高功能、高速度、高集成度、大容量、小体积、低成本、通信组网能力强。 本课程设计基于西门子(SIEMENS)S7-200 PLC对三层电梯的控制进行了模拟,形成了电梯升降的系统PLC在电梯升降的过程中,主要体现在逻辑开关的功能。由于PLC具有逻辑运算、记数、定时以及输出输入输出的功能,在电梯升降的过程中各种逻辑开关控制与PLC很好的结合,对电梯实现了控制。 关键词:SIEMENS S7-200 PLC;电梯;升降

一、绪论 1.1 相关背景知识 (3) 1.2 课程设计的目的 (3) 1.3 课程设计的要求 (3) 1.4 课程设计的任务 (4) 二、电梯控制系统硬件设计 2.1 模拟装置介绍 (5) 2.2 选择机型 (6) 2.3 I/O分配表 (6) 2.4 电气接线图与主电路图 (6) 2.5电梯控制系统的安全保护 2.5.1 短路保护 (7) 2.5.2 过载保护 (7) 2.5.3 失电压保护 (7) 2.5.4 超程保护 (7) 三、电梯控制系统软件设计 3.1软件设计流程图及描述 (8) 3.2 源代码设计 3.2.1 梯形图LAD (9) 3.2.2 语句表STL (14) 3.3 系统调试 (16) 四、课程设计体会 (16) 五、参考文献 (16)

PLC电梯控制系统的设计

河南工业职业技术学院 毕业设计 题目 PLC电梯控制系统的设计系院电气工程系 专业 班级 学生姓名 学号 指导教师

前言 随着电子技术的发展,当前数字电器系统的设计正朝着速度快、容量大、体积小、重量轻的方向发展。推动该潮流发展的引擎就是日趋进步和完善的PLC设计技术。目前数字系统的设计可以直接面向用户需求,根据系统的行为和功能的要求,自上而下的完成相应的描述、综合、优化、仿真与验证,直接生成器件。上述设计过程除了系统行为和功能描述以外,其余所有的设计几乎都可以用计算机来自动完成,也就说做到了电器设计自动化这样做可以大大的缩短系统的设计周期,以适应当今品种多、批量小的电子市场的需求。 电器设计自动化的关键技术之一是要求用形式化的方法来描述数字系统的硬件电路,即要用所谓的硬件语言来描述硬件电路。所谓硬件描述语言及相关的仿真、综合等技术的研究是当今电器设计自动化领域的一个重要课题。 PLC的设计和开发,已经有多种类型和款式。传统的PLC各有特点,它们适合在现场做手工测量,要完成远程测量并要对测量数据做进一步分析处理,传统PLC是无法完成的。然而基于PC 通信的PLC,既可以完成测量数据的传递,又可借助PC,做测量数据的处理。所以这种类型的PLC无论在功能和实际应用上,都具有传统PLC无法比拟的特点,这使得它的开发和应用具有良好的前景。

目录 1.前言 2.电梯控制基本概念 3.电梯控制的组成 4.电梯控制的移动 5.电梯PLC系统的模拟组态 6.货运电梯重量超载的控制 7.总结 8.参考文献

2. PLC电梯控制的基本概念 电梯控制系统可分为电力拖动系统和电气控制系统两个主要部分。电力拖动系统主要包括电梯垂直方向主拖动电路和轿箱开关电路。二者均采用易于控制的直流电动机作为拖动动力源。主拖动电路采用PWM调试方式,达到了无级调速的目的。而开关门电路上电机仅需一种速度进行运动。电气控制系统则由众多呼叫按钮、传感器、控制用继电器、指示灯、LED七段数码管和控制部分的核心器件(PLD)等组成。PLC集信号采集、信号输出及逻辑控制于一体,与电梯电力拖动系统一起实现了电梯控制的所有功能。 电梯控制系统原理框图如图1所示,主要由轿箱内指令电路、门厅呼叫电路、主拖动电机电路、开关门电路、档层显示电路、按钮记忆灯电路、楼层检测与平层检测传感器及PLC电路等组成的。 电梯控制系统的硬件结构如图2所示。包括按钮编码输入电路、楼层传感器检测电路、发光二极管记忆灯电路、PWM控制直流电机无线调速电路、轿箱开关电路、楼层显示电路及一些其他辅助电路等。为减少PLC输入输出点数,采用编码的方式将31个呼叫及指层按钮编码五位二进制码输入PLC PLC系统的其它设备 1 编程设备:编程器是PLC开发应用、监测运行、检查维护不可缺少的器件,用于编程、对系统作一些设定、监控PLC及PLC 所控制的系统的工作状况,但它不直接参与现场控制运行。小编程器PLC一般有手持型编程器,目前一般由计算机(运行编程软件)充当编程器。 2 人机界面:最简单的人机界面是指示灯和按钮,目前液晶屏(或触摸屏)式的一体式操作员终端应用越来越广泛,由计算机(运行组态软件)充当人机界面非常普及。 3 输入输出设备:用于永久性地存储用户数据,如EPROM、EEPROM写入器、条码阅读器,输入模拟量的电位器,打印机等。

相关主题
文本预览
相关文档 最新文档